Step 1: Starting the Vivado IDE - 2023.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2023-11-08
Version
2023.2 English
This lab uses a Vivado design checkpoint (.dcp file) that is a snapshot of a design. When you launch the Vivado IDE using a design checkpoint, a subset of the Vivado IDE functionality is available.
Tip: To launch the Vivado Tcl Shell on Windows, select Start > All Programs > Xilinx Design Tools > Vivado <version> > Vivado <version> Tcl Shell.
  1. From the command line or the Vivado Tcl Shell, change to the directory where the lab materials are stored:
    cd <Extract_Dir>/Lab1
  2. To start the Vivado IDE with the design checkpoint loaded, enter the following:
    vivado my_ip_example_design_placed.dcp
Tip: You can disregard the critical warnings about the unbounded GT locations.