Step 2: Creating ML Strategy Runs - 2023.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2023-11-08
Version
2023.2 English
In this step, you create the ML strategy implementation runs using the files created in Step 1: Generating an ML Strategy RQS File.
  1. In the Design Runs window, select impl_3, then right-click and select Open Run Directory.
  2. Search for the MLStrategy directory and examine the contents. You see three RQS files and three non-project-based Tcl scripts. The RQS files are common for both project and non-project flows. The non-project scripts are examples of how to use the RQS file.

  3. The next step is to generate the ML strategy runs. As long as the files reside in the <run_directory>/MLStrategy directory, this process can be automated. In the Design Runs window, right-click the implementation run and select Create ML Strategy runs. Doing this creates three runs, one for each ML strategy file. Configure the runs to use the RQS directive and the RQS file to be read into each of them.
  4. In the Design Runs window, select impl_3_ML_1.

  5. In the Implementation Run Properties window, select the Properties tab and confirm that RQS_FILES is set.
  6. In the Implementation Run Properties window, select the Options and confirm the directive is set to RQS for the opt_design, place_design, phys_opt_design, and route_design commands.

    You are now set up to run with ML strategies. By the time you have an ML strategy file, you cannot generate new strategies after design changes, but you can add other suggestions.

  7. You are now ready to launch the runs. Select all the ML strategy runs, right-click, and select Launch Runs.... The runs now proceed in parallel, and complete like a standard run.