Step 7: Automatically Running QoR Suggestions - 2023.2 English

Vivado Design Suite Tutorial: Design Analysis and Closure Techniques (UG938)

Document ID
UG938
Release Date
2023-11-08
Version
2023.2 English
In this section you run the AUTO_RQS flow, where suggestions are generated at the end of an implementation run and automatically added to the run when it is reset.
  1. In the Design Runs window, copy the original synthesis and implementation run again using the right-click menu.
  2. In the Design Runs window, right-click impl_2 > Set QoR Suggestions.
  3. Select Automatically apply QoR suggestions from the previous run and Apply suggestions to the parent Synthesis run.

  4. You can now launch the runs. Suggestions are only generated at the end of route_design using this method. The picture the flow sees can be different to the previous steps, so you can expect different results. In addition, all AUTO suggestions generated or regenerated at route_design are applied.
  5. Launch the runs. This step takes some time because it launches the full implementation flow.
  6. When the run is complete, go to the Reports tab and select the QoR Suggestions report.

    This report is generated automatically when the AUTO RQS flow is enabled. There is also automatic writing of QoR suggestions. The suggestions written to the RQS file have one of the following properties:
    • GENERATED_AT or REGENERATED_AT equal to the final flow step, which can be route_design or postroute_phys_opt
    • AUTOMATIC
    • APPLIED
  7. Reset the implementation run. This makes the synthesis run out of date at the same time. Because the option to apply suggestions to the parent synthesis run is selected, the RQS file also reads in this run.
  8. Right-click impl_1_copy_2 and launch the implementation run, also selecting the option to restart the synthesis run.
  9. With the run launched, select impl_1_copy_2 in the Design Runs window. Examine the RQS_FILES property on the Implementation Run Properties window. During the reset run process in step 7, the RQS file is copied from the run directory to the utils_1 fileset. The RQS_FILES property is updated if required at the same time. RQS files in the implementation run directory are deleted when the run is reset.

    If the RQS_FILES property previously pointed to a different file, all the APPLIED suggestions from this file are copied to the new RQS file and subsequently, this RQS file is no longer required and is dropped.

  10. Select Implementation Run Properties and then the Reports tab. After the design initialization step is run, a report is made available that contains the QoR suggestions that have been read into the run from the RQS file. Select this report.

  11. In the report, you can see that ML strategies have been generated. In the case of the AUTO_RQS flow, the required RQS files are generated for you automatically. These files are combined with all the suggestions that are currently APPLIED, as well as the suggestions that meet the criteria outlined above to be added when the run is reset.

  12. In the Design Runs window, right-click impl_1_copy_2 > Open Run Directory . Locate the MLStrategy directory and confirm that there are three RQS files inside it. Close the folder.
  13. In the Design Runs window, right-click impl_1_copy_2 > Create ML Strategy Runs. Doing this creates three runs and automatically sets the RQS file and directives for you. ML strategies are covered in more detail in the following lab.
  14. When the implementation run completes, new suggestions are generated. Using only impl_1_copy_2, repeat steps 6 to 10 for a clearer understanding of how the RQS file is updated with the new suggestions.