Step 5: Create DCP for IP - 2023.2 English

Vivado Design Suite Tutorial: Designing with IP (UG939)

Document ID
UG939
Release Date
2023-11-13
Version
2023.2 English

The default flow for Vivado is to use a Synthesis Design Checkpoint for IP. Typically when referencing IP in a Non-Project Flow you would have created the IP customizations using a Manage IP project. At that point, you would have created the output products and decided to disable DCP use or generated the DCP. This is the case with the FIFO Generator IP (char_fifo); it has all the output products generated including a DCP. The other three IP all consist of an XCI or XCO file and thus you need to configure the synthesis option. Either create a DCP for the IP or configure it to be synthesized with the top-level logic.

In Step 3, you configured the Clocking Wizard to not use a DCP by setting a property on the IP XCI file. At this point, you can generate a DCP for the Block Memory Generator IP and the other two IPs.

Add the following lines to your script to create the DCP for the Block Memory Generator IP, FIFO Generator IP, and Accumulator IP:


synth_ip [get_ips blk_mem_gen_v7_3_0]
synth_ip [get_ips c_accum_0]
synth_ip [get_ips char_fifo]

This results in the DCP files being created and stored in the directories containing the IP XCI file.