Create and Generate a License Key File - 2023.2 English

Vivado Design Suite User Guide: Release Notes, Installation, and Licensing (UG973)

Document ID
UG973
Release Date
2023-10-19
Version
2023.2 English

For certificate-based licenses, as long as you know the Flexera Host ID (Ethernet MAC ID, Drive Serial Number or Dongle ID) you want to lock your license to, you do not need to enter the AMD License Management site from one of our utilities.

Note: The step-by-step instructions below are for generating a floating certificate-based license as this process contains a superset of all other certificate-based license generation flows.
  1. Go to Product Licensing.

    If prompted, sign in with your AMD credentials and confirm any necessary information to continue.



    • If you already have an AMD user account, enter your E-mail Address and password, and then confirm your contact information is current.
    • If you do not have an account, click the Create Account link and register.
    • Provide the necessary info for Name and Address verification for the U.S Government Export Approval form and click Next.

    The Product Licensing page is displayed.



  2. Select a product licensing account from the Account drop-down list.
    Note: This selection is not available if you are entitled to evaluation or free products only.
  3. (Optional) Enter product voucher codes for design tools or IP product licenses purchased with kits or for tools purchased from the AMD online store. If you have a product voucher card, you can enter the voucher code on the card into the associated text field and click Redeem Now.

    Product vouchers for design tools and IP product licenses can be shipped with an AMD or partner development board or design kit. This places the corresponding design tools or IP product entitlement in the product entitlement table which you can use to generate a license key.

  4. (Optional) Add evaluation or No Charge IP product entitlements to the product entitlement table.

    To add Evaluation and No Charge IP to the list of product entitlements, click the Search Now button in the Add Evaluation and No Charge IP Cores section of the page. This opens an IP product finder tool.



  5. Make your product selections from the Certificate-Based entitlement table.

    The following types of product entitlements are available:

    • Full (purchased)
    • No Charge
      Note: Full and No Charge licenses have a subscription period of one year.
    • Evaluation
      Note: Design tool evaluation is for 30 days and IP evaluations are for 120 days.

      The Vivado Design Suite: 30-Day Evaluation License evaluation product entitlement provides access to all the capabilities in the Vivado Design Tools. This product entitlement is automatically included in your product licensing account.

    Products with a status of Current are within their warranty period. Products with a status of Expired have a warranty period end date that has passed. If seats are available, licenses can be generated for either Current or Expired product entitlements.

  6. Select the number of seats required for each product license.

    This is for floating licenses only. All node-locked licenses are for one seat. The number of seats available for a product entitlement is automatically maintained by the system. The Requested Seats field is populated, by default, with zero, although you are allowed to enter any number up to the full number of seats remaining on the product entitlement. A product is removed from the product entitlement table once all seats have been generated.

    For design tools, available seats represents the number of seats available for licensing over the total number of seats purchased. For IP, seats are managed according to the terms of the site-wide license agreement.

  7. Click the Generate License button corresponding with the type of license file you are generating.
    Note: Floating/server and node-locked/client licenses cannot be combined in the same license file. Selecting an entitlement that contains only one license type causes the Generate button for the other license type to become inactive.

    A new window is displayed where you define the product and system that you are generating the license for.



  8. Enter system information.

    For floating certificate-based licenses, the first field is redundancy. A triple-redundant server configuration, also known as a triad, provides a fail over for the license manager software. As long as two of the three servers are running, the license manager can continue to run. This does not apply to node-locked licenses. The system information is pre-populated in the Host ID drop-down menu if you arrived at the Product Licensing Site from a link within the Vivado License Manager.

    1. If you do not have pre-populated system information, or if you want to add a different host, click Select a Host and then click Add a Host.

    2. Enter information about the host.

      The Host ID value uniquely identifies the machine to which your design tools or IP is licensed. You can choose a Host ID Type to be a MAC address, a hard drive serial number or a dongle ID.

      Note: Not all host ID types are supported for all operating systems. The easiest way to obtain your host ID is to run Vivado License Manager on the machine that serves as the license host.
  9. (Optional) Add a comment.

    Adding a comment to the license key makes it easier for the administrator to track the allocation of the design tools and IP product entitlements among users.



  10. Click Next.
  11. Review your selections, and click Next.
  12. Accept the licensing agreement.
    Note: If you license IP products, you must accept the terms of the associated IP product EULAs before the license file can be generated.

When you finish generating the licenses, you receive a confirmation message summarizing your licensing activity.



You will receive an email from xilinx.notification@entitlenow.com with the license file, which you must save to your machine.

Tip: If you do not receive your license by email, you can download it directly from the Product Licensing.