Step 4: Tightening Timing Requirements - 2023.2 English

Vivado Design Suite Tutorial: Implementation (UG986)

Document ID
UG986
Release Date
2023-10-18
Version
2023.2 English
To examine the impact of the Performance_Explore strategy on meeting timing, change the timing constraints to make timing closure more challenging.
  1. In the Sources window, double-click the top_full.xdc file in the constrs_2 constraint set.

    The constraints file opens in the Vivado IDE text editor.



  2. On line 2, change the period of the create_clock constraint from 10 ns to 7.35 ns.

    The new constraint should read as follows:

    create_clock -period 7.35 -name sysClk [get_ports sysClk]
  3. Save the changes by clicking the Save File button in the toolbar of the text editor.
    Note: Saving the constraints file changes the status of all runs using that constraints file from “Complete” to “Out-of-date,” as seen in the Design Runs window.

  4. In the Design Runs window, select all runs and click the Reset Runs button.
  5. In the Reset Runs dialog box, click Reset.

    This directs the Vivado Design Suite to remove all files associated with the selected runs from the project directory. The status of all runs changes from “Out-of-date” to “Not started.”

  6. With all runs selected in the Design Runs window, click the Launch Runs button.

    The Launch Selected Runs window opens.

    Tip: You can also launch runs without resetting them first. If the runs are out of date, the Reset Runs dialog box displays. In this dialog box, you can reset the runs before they are launched.
  7. Select Launch runs on local host and Number of jobs: 2 and click OK.

    When the active run (impl_3) completes, the Implementation Completed dialog box opens.

  8. Click Cancel to close the dialog box.
  9. Compare the Elapsed time for each run in the Design Runs window, as seen in the following figure.

    • The impl_2 run, using the Performance_Explore strategy is closest to meeting timing, but also took the maximum amount of time to complete.
    Note: Reserve the Performance_Explore strategy for designs that have challenging timing constraints and fail to meet timing with the Implementation Defaults strategy.