ソリューション

76685 - デザイン アドバイザリ、Versal ACAP、XPE - 電源シーケンスの変更

Release Date
2023-02-16
Revision
1.0 日本語

解決策

この問題は、2021.2 以降の XPE の電源ガイドラインで修正されています。
 

回避策
 

注記: AMD では、アップデートされた電源シーケンスに従うことをお勧めします。


Vivado 2022.1 以降の場合

Vivado Tcl コンソールで、次のパラメーターを使用して回避策の .cdo を .bif ファイルに追加するよう設定できます。

set_param project.enableMjtagCdo 1

Vivado 2021.2

電源シーケンスを変更できない場合は、制限付きの回避策があります。制限付きの回避策を使用すると、これが問題となる時間帯を、.rnpi パーティションを読み込んでから PL パーティションを含む最初の PDI イメージを読み込んだ後までの間に大幅に削減します。

制限付きの回避策は、Vivado Tcl コンソールで次のコマンドを使用して、CIPS IP インスタンスでプロパティをイネーブルにします (インスタンス名が versal_cips_0 である場合)。

set_property -dict [list CONFIG.PS_PMC_CONFIG {PS_USE_MJTAG_TCK_TIE_OFF {1}}] [get_bd_cells versal_cips_0]

Vivado 2021.1

添付の Vivado パッチおよび PLM ソフトウェア パッチは、Vivado 2021.1 の回避策として使用できます。

Vivado パッチに関するその他のインストール方法は、vivado/patch_readme フォルダーを参照してください。PLM パッチのインストールについては、Xilinx Wiki - Petalinux Yocto Tips を参照してください。Vivado Tcl コンソールで、次のパラメーターを使用して回避策の .cdo を .bif ファイルに追加するよう設定できます。

set_param project.enableMjtagCdo 1

改訂履歴

2023/02/16 - 2022.1 および 2021.1 での回避策と統合に関する注記を追加。
2021/12/14 - 2021.2 XPE 提供により簡略化
2021/10/29 - 2021.2 での回避策を追加、パッチ リビジョンをアップデート
2021/09/30 - 初版