TX マスターを使用したマルチ レーン自動モードで TX および RX バッファー バイパスの両方を使用した場合の TXUSRCLK と RXUSRCLK の共有

Versal ACAP GTY および GTYP トランシーバー アーキテクチャ マニュアル (AM002)

Document ID
AM002
Release Date
2021-05-05
Revision
1.2 日本語

TX マスターを使用したマルチ レーン共通クロック バッファー バイパスでは、TX レーンの 1 つを初期マスター レーンに指定し、もう 1 つをメンテナンス マスター レーンに指定する必要があります。その他の TX レーン、およびすべての RX レーンはスレーブ レーンに指定する必要があります。

シングル レーン モード、共通クロック、TX マスター使用時に TX および RX バッファーをバイパスするには、トランシーバーを次のように設定します。

共通クロックの設定:

  • CH*_RX_PHALIGN_CFG5[27:26] = 2'b01 (CMN_FAB_CLK_PHALIGN_MODE)

TX バッファー バイパスの設定:

  • CH*_TX_PHALIGN_CFG0[31] = 1'b1 (DLY_ALIGN_EN)
  • CH*_TX_PHALIGN_CFG0[30] = 1'b1 (PH_ALIGN_EN)
  • CH*_TX_PHALIGN_CFG0[15] = 1'b1 (SYNC_MULTI_LANE)
  • CH*_TX_PHALIGN_CFG0[14] = 1'b1 (TXBUF_BYPASS_MODE)
  • CH*_TX_PHALIGN_CFG1[0] = 1'b0 (ASYNC_GBOX_PHALIGN_EN)
  • CH*_PIPE_CTRL_CFG7[2:0] = 3'b0113'b1003'b101 (TXOUTCLKCTL) TXOUTCLK のソースとしてトランシーバー基準クロックまたはプログラマブル分周器のクロックを選択)

RX バッファー バイパスの設定:

  • CH*_RX_PHALIGN_CFG0[31] = 1'b1 (DLY_ALIGN_EN)
  • CH*_RX_PHALIGN_CFG0[30] = 1'b1 (PH_ALIGN_EN)
  • CH*_RX_PHALIGN_CFG0[15] = 1'b1 (SYNC_MULTI_LANE)
  • CH*_RX_PHALIGN_CFG0[14] = 1'b1 (RXBUF_BYPASS_MODE)
  • CH*_RX_PHALIGN_CFG1[1] = 1'b0 (ASYNC_GBOX_PHALIGN_EN)

次の図に、TX マスターを使用したシングル レーン共通クロック バッファー バイパスの例を示します。

図 1. マルチ レーン TX マスター モードの共通クロック バッファー バイパス レーン

次の図に、TX マスターを使用したマルチ レーン レーン共通クロック バッファー バイパスのポート接続を示します。

図 2. マルチ レーン TX マスター モードの共通クロック バッファー バイパス レーンのポート接続

マルチ レーンのバッファー バイパスは、物理的に隣接した位置にあるレーンに対してのみ使用できます。マルチ レーン グループの物理的位置に応じて、CH*_TX_PHALIGN_CFG1[2:1] (CHAIN_MODE) と CH*_RX_PHALIGN_CFG1[3:2] (CHAIN_MODE) を次のように設定する必要があります。

  • 最上位: CH*_TX_PHALIGN_CFG1[2:1]/CH*_RX_PHALIGN_CFG1[3:2] (CHAIN_MODE) = 2'b01
  • 中間: CH*_TX_PHALIGN_CFG1[2:1]/CH*_RX_PHALIGN_CFG1[3:2] (CHAIN_MODE) = 2'b11
  • 最下位: CH*_TX_PHALIGN_CFG1[2:1]/CH*_RX_PHALIGN_CFG1[3:2] (CHAIN_MODE) = 2'b10

次の図に、マルチ レーン TX マスター モードで共通クロック位相および遅延アライメントの実行に必要な手順を示します。

図 3. マルチ レーン TX マスター モードの共通クロック バッファー バイパス

上図について説明します。

  1. この図はイベント シーケンスを表しており、正確な縮尺ではありません。
  2. CH[IM]_* は初期マスター レーンに関連するポートを示します。
  3. CH[MM]_* はメンテナンス マスター レーンに関連するポートを示します。
  4. CH[S]_* はスレーブ レーンに関連するポートを示します。
  5. リセットやレート変更などの後には、共通クロック位相アライメントを実行する必要があります。共通クロック位相および遅延アライメントは、CH*_TXPHDLYRESET と CH*_RXPHDLYRESET をアサートすると開始されます。
  6. 初期マスター レーンで CH*_TXSYNCDONE の立ち上がりエッジが検出されると、TX マスターを使用した共通クロック位相アライメントが完了したことを示します。この信号は、次にアライメントを開始するまでアサートしたままとなります。
  7. CH*_TXSYNCDONE がこの図に示すシーケンスに従わない場合、GTTXRESET と GTRXRESET のアサート/ディアサートが必要です。
  8. TX 遅延アライメントは、温度や電圧の変動に合わせて継続的に TXUSRCLK を調整します。