FIFO

Versal アダプティブ SoC SelectIO リソース アーキテクチャ マニュアル (AM010)

Document ID
AM010
Release Date
2024-03-18
Revision
1.5 日本語

このセクションでは、RX データパスの FIFO について説明します。RX データパスの FIFO には、次の 3 つの動作モードがあります。

FIFO_MODE_x = SYNC
NIBBLESLICE[x] の FIFO の読み出し側と書き込み側で同じクロックを使用します。
FIFO_MODE_x = ASYNC
NIBBLESLICE[x] の FIFO の読み出しクロックと書き込みクロックは周波数が同じですが、独立した位相とすることができます。
FIFO_MODE_x = BYPASS
NIBBLESLICE[x] のデータと FIFO 書き込みクロックをそのままプログラマブル ロジックへ渡します。

次の図に、SYNC および ASYNC モードでの FIFO の動作を示します。

図 1. RX データパスの FIFO (SYNC、ASYNC)

BYPASS モードでは、次の図に示すように、FIFO_EMPTY は常に High になり、FIFO_RD_CLK は使用されません。タイミングを満たすため、FIFO_RD_CLK は未接続のままにするか、固定値に接続する必要があります。これにより、FIFO_RD_CLK の無効なタイミングによるデザインの性能低下を防ぐことができます。BYPASS モードでは、Advanced IO Wizard で DQS/ストローブ ピンにクロック制約を与えると、内部で生成された FIFO_WR_CLK のタイミングが決定します。

図 2. RX データパスの FIFO (BYPASS)