CMN のトポロジ

Versal ACAP CPM CCIX アーキテクチャ マニュアル (AM016)

Document ID
AM016
Release Date
2020-11-24
Revision
1.1 日本語
CMN はインターコネクトをスケーラブルなメッシュ ネットワークにしたもので、CHI プロトコルの各種エージェントを接続できます。次の図に、CPM 内の CMN のトポロジを示します。図中の各エージェントの隣に記した番号は、ノード ID を示しています。
図 1. CPM 内の CMN のトポロジ

XP (クロスポイント) はスイッチおよびルーター ロジック ユニットで、CMN インターコネクトの基盤となるコンポーネントです。各 XP ユニットは、隣接する XP ユニットに接続するための 4 つのインターコネクト ポートと、CHI のほかのエージェントに接続するための 2 つのデバイス ポートで構成されます。図に示すように、CMN は 6 つの XP ユニットを 3x2 のメッシュ ネットワークとして構成しています。RN-F は完全コヒーレントな要求元ノード、HN-F は完全コヒーレントなホーム ノード、そして HN-D はデバイス (I/O ホーム ノード、DVM ノード、およびコンフィギュレーション ノードを含む) を指します。

注記: CMN は CHI インターフェイスおよび CXS インターフェイスに対するデータ チェック (パリティ) をサポートしていません。したがって、CPM を CCIX モードで使用した場合、エンド ツー エンドのパリティ保護は提供されません。
重要: CMN にあるいくつかのレジスタには、TZ セキュア トランザクションを使用してアクセスする必要があります。これらレジスタへのアクセスに使用するマスターは、セキュア トランザクションを生成できるように適切に設定する必要があります。TZ アクセスが必要なレジスタの詳細は、CMN TRM を参照してください。

RN-F と CML は、同じ XP に割り当てられます。RN-F と CML のペアが同じ XP を共有することにより、次の種類のトラフィックは直接接続され、メッシュを横断する必要がなくなります。

  • PL 内のアクセラレータ カーネルからのリモート要求トラフィック
  • CCIX からのリモート スヌープ トラフィック

これにより、RN-F と CML 間のレイテンシと帯域幅の両方が改善します。

HN-F は、スヌープ フィルターを含むホーム ノード機能を提供します。SBSX は、CMN をメモリ コントローラーに接続するための CHI-AXI ブリッジです。HN-F と SBSX は同じ XP を共有します。これにより、次のトラフィックは直接接続され、レイテンシと帯域幅が改善します。

  • HN-F と SBSX 間のメモリ トラフィック
  • HN-F からのスヌープ データ
注記: CCB からの各メモリ ポートは 32 の書き込みトランザクションと 64 の読み出しトランザクションを発行できます。これらのトランザクションはいずれもキャッシュ ライン サイズ (64 バイト) で、書き込みまたは読み出しごとに異なる AXI ID を使用します。CCIX を有効にした場合、DDR へのアドレス インターリーブは CMN-600 がアドレス ハッシュを使用して処理します。CCB から PS インターコネクトへのポートは両方とも、インターリーブなしのアドレスに基づいてすべてのトランザクションを転送します。
注記: 次の機能はサポートされません。
  • CHI-B ダイレクト メモリ転送 (SBSX は、HN-F をバイパスして RN-F または CML へデータを直接転送することはできない)
  • CHI-B および CXS データ チェック
  • CHI-B ダイレクト キャッシュ転送 (CML と RN-F のいずれかにダーティ コピーがある場合、HN-F をバイパスして CML と RN-F 間で直接転送することはできない) (★AM016v1.0 で CR 済み)
  • CCIX 部分キャッシュ ステートおよび 128 バイト キャッシュ ライン (アクセラレータは CHI-B のパーシャル オペコードを使用できない)
  • CMN レジスタを直接プログラムすることによるアドレス ベースのフラッシュは、現在の CMN IP バージョンではサポートされない。代わりにキャッシュ メンテナンス動作 (CMO) を使用する。