ピン制約 - 3.1 日本語

UltraScale Architecture Soft Error Mitigation Controller v3.1 LogiCORE IP 製品ガイド (PG187)

Document ID
PG187
Release Date
2019-05-22
Version
3.1 日本語

次のグループは、I/O ピン ロケーションをシステム レベル サンプル デザインの最上位ポートに割り当てるためのテンプレートです。これらの割り当てはボードごとに異なるため、自動的には生成できません。 ただしサポートされる評価ボードをターゲットにしてデザインを生成した場合はこの限りではありません。 評価ボードを使用した SEM Controller の動作デモ を参照してください。 この場合、ピン ロケーションはターゲット ボードと互換性があります。

それ以外の場合は、ターゲット ボードに合わせて有効な I/O ピン ロケーションおよび規格を割り当て、次に示す制約を適用してください。

set_property IOSTANDARD <io standard> [get_ports clk]

set_property PACKAGE_PIN <package pin> [get_ports clk]

set_property IOSTANDARD <io standard> [get_ports uart_rx]

set_property PACKAGE_PIN <package pin> [get_ports uart_rx]

set_property IOSTANDARD <io standard> [get_ports uart_tx]

set_property PACKAGE_PIN <package pin> [get_ports uart_tx]

set_property IOSTANDARD <io standard> [get_ports spi_q]

set_property PACKAGE_PIN <package pin> [get_ports spi_q]

set_property IOSTANDARD <io standard> [get_ports spi_c]

set_property PACKAGE_PIN <package pin> [get_ports spi_c]

set_property IOSTANDARD <io standard> [get_ports spi_d]

set_property PACKAGE_PIN <package pin> [get_ports spi_d]

set_property IOSTANDARD <io standard>8 [get_ports spi_s_n]

set_property PACKAGE_PIN <package pin> [get_ports spi_s_n]

タイミング クロージャの達成を容易にするため、UART または SPI フラッシュ インターフェイスに使用する I/O ピンは、SEM Controller に最も近い I/O バンクにあるピンを選ぶ必要があります。