機能 - 1.1 日本語

AXI Verification IP v1.1 LogiCORE IP 製品ガイド (PG267)

Document ID
PG267
Release Date
2017-10-04
Version
1.1 日本語

すべてのプロトコル データ幅、アドレス幅、転送タイプ、応答をサポート

トランザクション レベルのプロトコル チェック (バースト タイプ、長さ、サイズ、ロック タイプ、キャッシュ タイプ)

ARM ® ベースのトランザクション レベル プロトコル チェッカー。アサーション プロパティをサポートしたツールで利用可能 [参照 1]

SystemVerilog ビヘイビアー構文

SystemVerilog クラス ベースの API

ネットおよび定数タイオフに合成

この LogiCORE™ IP について

コアの概要

サポートされる
デバイス
ファミリ
(1)

UltraScale+™、UltraScale™、
Zynq ® -7000 All Programmable SoC、

7 シリーズ FPGA

サポートされる
ユーザー
インターフェイス

AXI4、AXI4-Lite、AXI3

リソース

N/A

コアに含まれるもの

デザイン ファイル

SystemVerilog

サンプル デザイン

SystemVerilog

テストベンチ

N/A

制約ファイル

N/A

シミュレーション
モデル

暗号化されていない SystemVerilog

サポートされる
ソフトウェア
ドライバー

N/A

テスト済みデザイン フロー (2) (3)

デザイン入力

Vivado ® Design Suite

シミュレーション (4)

サポートされるシミュレータについては、
Vivado Design Suite ユーザー ガイド:
リリース ノート、インストールおよび
ライセンス
を参照

合成

Vivado 合成

サポート

ザイリンクス サポート ウェブ ページ で提供

注記:

1. サポートされているデバイスの一覧は、Vivado IP カタログを参照してください。

2. サポートされているツールのバージョンは、 Vivado Design Suite ユーザー ガイド: リリース ノート、インストールおよび
ライセンス
を参照してください。

3. この IP は、Zynq PS 用の VIP は提供しません。AXI3、AXI4、および AXI4-Lite インターフェイス用の VIP コアのみを提供します。

4. この IP のすべての機能を利用するには、高度なシミュレーション機能をサポートしたシミュレータが必要です。

5. AXI VIP は、VHDL 階層に含めた場合、プロトコル チェッカーとしてのみ動作します。

6. AXI 検証コンポーネントの仮想部分を使用するには、Verilog 階層に置く必要があります。

7. 2 つの異なるリビジョン/バージョンの axi_vip パッケージをインポートしないでください。エラボレーション エラーが発生します。

8. すべての AXI VIP およびその親は、常に最新バージョンにアップグレードする必要があります。