機能 - 1.0 日本語

Versal ACAP Programmable Network on Chip and Integrated Memory Controller v1.0 LogiCORE IP 製品ガイド (PG313)

Document ID
PG313
Release Date
2021-04-08
Version
1.0 日本語
NoC は複数の水平パス (HNoC) と垂直パス (VNoC) で構成され、ハードウェア実装されるカスタマイズ可能なコンポーネントでサポートされます。これらのコンポーネントをさまざまに設定することにより、デザインのタイミング、スピード、およびロジック使用率の要件を満たすことができます。次の機能がサポートされます。
  • PL と PL 間の通信。
  • PL と CIPS 間の通信。
  • CIPS と PL 間の通信。
  • CIPS と DDR 間の通信。
  • CIPS と AI エンジン間の通信。
  • 高帯域幅データ伝送。
  • NoC への標準 AXI3 および AXI4 インターフェイスをサポート。AXI4-Lite のサポートにはソフト ブリッジが必要。
  • クロック ドメイン クロッシング (クロック乗せ換え) をサポート。
  • NoC レジスタのプログラミング用の内部レジスタ プログラミング インターコネクト。
  • 複数の配線オプション
    • 物理アドレスに基づく配線。
    • デスティネーション インターフェイスに基づく配線。
    • 仮想アドレスのサポート。
  • ハード化された SSIT ブリッジによるダイ間接続。
  • SSIT 構成でソース ダイの PMC からデスティネーション ダイの PMC へビットストリームを転送。
  • ロード バランシングおよびデッドロック回避用のプログラム可能なルーティング テーブル。
  • デバッグおよび性能解析機能。
  • エンド ツー エンドのデータ保護による RAS (信頼性、可用性、保守性)。
  • NoC 全体で仮想チャネルとサービス品質 (QoS) がサポートされ、効果的にトランザクションを管理し、各トラフィック ストリームの競合するレイテンシおよび帯域幅の要件をバランスよく満たすことが可能。
    • イングレス レート制御を使用して、NoC マスター ユニット (NMU) は NoC へのパケット送信レートを制御可能。
    • 1 つの物理リンクに 8 つの仮想チャネル。1 つの AXI 要求および応答ごとに 1 つの仮想チャネルを使用。
      • NMU の各イングレス AXI インターフェイスは、どの仮想チャネルにマップするかを静的にプログラム可能。
      • 仮想チャネルのマップは再プログラム可能 (その場合、最初に NMU を停止することが必要)。
      • すべての AXI QoS 値は、NoC を介して伝搬が可能 (オプション)。
  • NoC 接続ハードウェア (アクセス ポイント) は、マスター/スレーブ型のメモリ マップド構成を使用します。最も基本的な NoC 接続は、1 つのマスターと 1 つのスレーブを 1 つのパケット スイッチを使用して接続する構成です。このアプローチを使用して、マスターは AXI 情報を取得し、これをパケット化して NoC に送信し、NoC がパケット スイッチを介してスレーブへ転送します。スレーブはこのパケットを分解して元の AXI 情報に戻し、この情報をバックエンド デザインに渡します。これを実現するため、AXI ドメインと NoC ドメイン間のクロック乗せ換え、スイッチング、データ バッファーをすべて NoC アクセス ポイントが管理します。

  • メモリ マップド トランザクションでは、エラー訂正符号 (ECC) をサポート (AXI4-Stream の ECC はサポートされない)。
NoC には次のファンクション ブロックがあります。
NoC マスター ユニット (NMU)
マスターを NoC に接続するために使用します。
NoC スレーブ ユニット (NSU)
スレーブを NoC に接続するために使用します。
NoC パケット スイッチ (NPS)
NoC での転送とパケット スイッチングの実行、および仮想チャネルを設定および使用するために使用します。
NMU と NSU には、プログラマブル ロジック側から次の AXI 基本機能を使用して、標準の AXI3 または AXI4 インターフェイス経由でアクセスします。
  • AXI3AXI4、および AXI4-Stream をサポート。
  • 設定可能な AXI インターフェイス幅: 32、64、128、256、または 512 ビット インターフェイス。
  • 64 ビット アドレス指定。
  • AXI 排他アクセスの処理。
    • セマフォ タイプの動作を可能にする排他アクセス メカニズムにより、処理が完了するまでバスが特定のマスターに占有されるのを防ぎます。つまり、セマフォ タイプの動作はバス アクセス レイテンシにも最大帯域幅にも影響を与えません。

AXI の機能の詳細は、 『Vivado Design Suite: AXI リファレンス ガイド』 (UG1037: 英語版日本語版) を参照してください。

Versal™ デバイスには、NoC 構造に統合された、ハードウェアに構築された専用の DDR メモリ コントローラーがあります。DDR メモリ コントローラー インターフェイスには 4 つの専用 NSU コントローラーが含まれます。DDR コントローラーは、NoC IP の GUI を使用して設定します。NoC の機能の利用効率を最大限に高めるため、NoC 構造は複数 (2 個または 4 個) の物理 DDR コントローラーによるインターリーブ動作をサポートしています。
  • DDR コントローラーのインターリーブをサポートするために必要なチョッピングおよびリオーダーは、NMU が処理します。
  • インターリーブした DDR コントローラーをターゲットとするトランザクションは、次のように処理されます。
    • 各物理コントローラーのインターリーブ粒度およびメモリ空間に揃うように、トランザクションを複数の小さなパケットに分割する (チョッピング)。
    • 適切な物理 DDR インターフェイスに揃うように、サブパケットごとに別々のアドレスを指定する。
    • MNU が応答を再構築し、NMU に接続したマスターに返す。