Advanced IO Wizard のチュートリアル - 1.0 日本語

Advanced IO Wizard v1.0 LogiCORE IP 製品ガイド (PG320)

Document ID
PG320
Release Date
2022-04-21
Version
1.0 日本語

Versal® デバイス向けの Vivado® ツール フローでは Advanced IO Wizard を使用します。これは、高速 SelectIO™ インターフェイスを作成およびプランニングするための IP ベースのフローです。このチュートリアルでは、Vivado IP インテグレーターで Advanced IO Wizard を使用して Versal アーキテクチャの SelectIO XPHY ロジックをインプリメントし、Vivado Advanced I/O Planner を使用して物理的な配置を決定します。