IP の概要 - 1.0 日本語

Advanced IO Wizard v1.0 LogiCORE IP 製品ガイド (PG320)

Document ID
PG320
Release Date
2022-04-21
Version
1.0 日本語
この LogiCORE™ IP について
コアの概要
サポートされるデバイス ファミリ 1 Versal® ACAP
サポートされるユーザー インターフェイス RIU
コアに含まれるもの
デザイン ファイル RTL
サンプル デザイン Verilog
テストベンチ Verilog
制約ファイル ザイリンクス デザイン制約ファイル (XDC)
シミュレーション モデル あり
サポートされるソフトウェア ドライバー 2 N/A
テスト済みデザイン フロー 2
デザイン入力 Vivado® Design Suite
シミュレーション サポートされるシミュレータについては、『Vivado Design Suite ユーザー ガイド: リリース ノート、インストール、およびライセンス』 を参照。
合成 Vivado 合成
サポート
リリース ノートと既知の問題 マスター アンサー レコード: 76554
すべての Vivado IP 変更ログ マスター Vivado IP 変更ログ: 72775
ザイリンクス サポート ウェブ ページ
  1. サポートされているデバイスの一覧は、 Vivado® IP カタログを参照してください。
  2. サポートされているツールのバージョンは、『Vivado Design Suite ユーザー ガイド: リリース ノート、インストール、およびライセンス』 を参照してください。