Versal アーキテクチャの I/O プランニング デザイン フローの変更点 - 1.0 日本語

Advanced IO Wizard v1.0 LogiCORE IP 製品ガイド (PG320)

Document ID
PG320
Release Date
2022-04-21
Version
1.0 日本語
Vivado® Design Suite では、Versal アーキテクチャの Advanced IO Wizard の場合、I/O の割り当てとインプリメンテーション プロセスが次のように変更されます。
  • Vivado IDE の [I/O Planning] レイアウトを使用して、デザイン内のその他の部分と連結して I/O プランニングが統合管理されるようになりました。このため、RTL デザインを使用するピン プランニングや合成後のピンプランニングが可能です。また、ハード/ソフト メモリ コントローラーを含む、バンク内のすべての高速 I/O を同時に割り当てることができます。
  • IP の PHY インプリメンテーションは、opt_design コマンドを使用して合成後に実行されるため、ネットリスト ベースの I/O プランニングが可能です。
  • opt_design コマンドの実行で、IP ブロックを含む物理ブロック (Pblock) が自動生成されるようになりました。このプロセスはユーザーに表示されません。