まとめ - 1.0 日本語

Advanced IO Wizard v1.0 LogiCORE IP 製品ガイド (PG320)

Document ID
PG320
Release Date
2022-04-21
Version
1.0 日本語

このチュートリアルでは、 Vivado® IP インテグレーターで Clocking WizardAdvanced IO Wizard を使用して Versal® XPHY ロジックの受信インターフェイスを作成する方法を説明しました。また、Advanced I/O Planner を使用して Versal® デバイスで I/O プランニングを実行し、高速 I/O 機能を活用する方法についても説明しました。Versal ハードウェアを使用して、実装したデザインを完成させることができました。