サンプル デザインの使用 - 1.0 日本語

Advanced IO Wizard v1.0 LogiCORE IP 製品ガイド (PG320)

Document ID
PG320
Release Date
2022-04-21
Version
1.0 日本語

Vivado 設計ツールで作成される Advanced IO Wizard コアの各インスタンスは、デバイスにインプリメントおよびシミュレーション可能なサンプル デザインで提供されます。サンプル デザインは、独自デザインを構築するためのスターティング ポイントとして使用したり、問題発生時にユーザー アプリケーションのサニティ チェックを実行するために使用できます。コアのサンプル デザインの使用およびカスタマイズ方法は、「サンプル デザイン」を参照してください。