デバッグ - 1.0 日本語

Advanced IO Wizard v1.0 LogiCORE IP 製品ガイド (PG320)

Document ID
PG320
Release Date
2022-04-21
Version
1.0 日本語

この付録では、ザイリンクス サポート ウェブサイトより入手可能なリソースおよびデバッグ ツールについて説明します。

Advanced IO Wizard を含むデザインに ILA を挿入する手順

Advanced IO Wizard は、IO LOC の動的変更をサポートするために合成後にコアを再生成する特殊なコアです。これは合成後に実行されるため、opt_design の前に追加された debug_probes は無効です。したがって、このフローをサポートするには通常の合成およびインプリメンテーション フローとは異なる手順を実行する必要があります。

Vivado デザインで、次の手順を実行します。

  • 合成を実行する
  • 合成済みのデザインを開く
  • 必要に応じて新しい IO LOC XDC を追加する
  • opt_design を実行する
  • write_checkpoint post_opt.dcp
  • open_checkpoint ./post_opt.dcp
  • 以降の手順は、dcp でのみ実行する必要がある
  • ILA コアを作成し、dbg 信号を追加する
  • write_checkpoint ./*post_opt.dcp -force
  • implement_debug_core
  • place_design
  • phys_opt_design
  • route_design
  • write_device_image -file <file_name>.pdi