プロジェクトの作成 - 1.0 日本語

Advanced IO Wizard v1.0 LogiCORE IP 製品ガイド (PG320)

Document ID
PG320
Release Date
2022-04-21
Version
1.0 日本語

チュートリアルを開始するには、 Vivado® Design Suite を起動してチュートリアル プロジェクトを作成し、基本のブロック デザインを構築します。

  1. Vivado Design Suite を起動します。画面に表示されたバナーが Vivado 2022.1 リリースであることを確認してください。
  2. クイック スタート メニューから Create Project をクリックして、次の手順を実行します。
    1. 作業エリアでプロジェクト名 project_Versal を指定します。
    2. RTL Project をオンにし、Do not specify sources at this time をオフにします。
    3. HDL ソースは指定しないでください。
    4. top.xdc, という制約ファイルを作成して、Copy constraints files into project をオンにします。
    5. [Default Part] ページで、xcvc1902-vsvd1760-1LP-e-S を選択します。
    6. Finish をクリックして新しいプロジェクトを作成します。
  3. Vivado Flow Navigator で、IP Integrator > Create Block Design をクリックします。デフォルトのブロック デザイン名 「design_1」 でダイアログ ボックスが表示されます。
  4. [OK] をクリックします。空のブロック デザイン キャンバスが開きます。

プロジェクトを作成して、制約ファイルと最初のブロック デザインを作成する Tcl コマンドは次のとおりです。

create_project project_Versal \
              ./project_Versal \
              -part xcvc1902-vsvd1760-1LP-e-S

file mkdir ./project_Versal/project_Versal.srcs/constrs_1/new

close [open \
  "./project_Versal/project_Versal.srcs/constrs_1/new/top.xdc" w]

add_files \
  -fileset constrs_1 ./project_Versal/project_Versal.srcs/constrs_1/new/top.xdc

set_property target_constrs_file \
  ./project_Versal/project_Versal.srcs/constrs_1/new/top.xdc \
  [current_fileset -constrset]

create_bd_design "design_1"