[Ports and Interfaces] ページ - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: カスタム IP の作成とパッケージ (UG1118)

Document ID
UG1118
Release Date
2023-11-06
Version
2023.2 日本語

Ports and Interfaces ページには、カスタム IP のポートおよびインターフェイスがリストされます。

図 1. Package IPPorts and Interfaces ページ

Create and Package New IP ウィザードを終了すると、最上位 HDL ソース ファイルに基づいてポートとインターフェイスの表が生成されます。

最上位ポートの解析中、インターフェイスが経験則に基づいて決定できる場合は、インターフェイスが自動的に推論されます。

ポートまたはインターフェイスに関連する次のプロパティ列があります。

Name
ポートまたはインターフェイス名。ポートの方向は、その前に付いているアイコンで示されます。
Interface Mode
カスタム IP の視点から見たインターフェイスのモード (マスターまたはスレーブ)。
Enablement Dependency
ポートまたはインターフェイスがイネーブルなる条件を指定する式。詳細は、依存条件式の設定 を参照してください。
Direction
ポートの方向。
Driver Value
ディスエーブルの場合のポートのドライバー値。
Size Left
最上位ビット (MSB) の値。
Size Right
最下位ビット (LSB) の値。
Size Left Dependency
MSB の値を決定する依存条件式。詳細は、依存条件式の設定 を参照してください。
Size Right Dependency
LSB の値を決定する依存条件式。詳細は、依存条件式の設定 を参照してください。
Type Name
ポート タイプ (std_logic または std_logic_vector)。