構文 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: カスタム IP の作成とパッケージ (UG1118)

Document ID
UG1118
Release Date
2023-11-06
Version
2023.2 日本語
encrypt [-key <arg>]-lang <arg> [-quiet] [-verbose] [-ext <arg>] <files>...

encrypt Tcl コマンドは Vivado IDE の [Tcl Console] ウィンドウまたはスタンドアロンの Vivado Tcl シェルで使用できます。デフォルトでは、既存のファイルが暗号化され、-ext オプションを使用しない場合は元のファイルは暗号化されたファイルで上書きされ、置き換えられます。

重要: ファイルが上書きされないようにするには、encrypt に -ext オプションを使用するか、または encrypt を実行する前にソース ファイルをどこかにコピーしておきます。

IEEE-1735-2014 V2 の定義エリアは HDL ソース ファイルに含めるか、別のキー ファイルに含めることができます。encrypt -key オプションを使用すると、Vivado ツールがこの情報を検索する場所を指定できます。

-key オプションを使用すると IEEE-1735-2014 V2 でサポートされているプラグマを含む RSA キーを指定できます。これらのプラグマは暗号キーを提供し、アクセス権をはじめ、オプションの情報を定義します。このキー ファイルには、暗号化しているソース ファイルと同じ言語および拡張子を使用する必要があります (VHDL、Verilog、SystemVerilog)。

ヒント: -key が指定されていても、ソース ファイルに既に暗号キーがあり、定義エリアに必要なプラグマがある場合は、-key の引数は無視されます。

-key が指定されていない場合、Vivado ツールで暗号化されているソース ファイル内に埋め込まれている暗号キーおよびプラグマが検索されます。

次の例では、次の作業を実行するために -key オプションが使用されます。

  • 暗号キー ファイルをポイントする。
  • ターゲット言語を Verilog に指定する。
  • 暗号化するデザイン ファイルを指定する。
  • 元のソース ファイルが上書きされるのを防ぐため、暗号化されたファイルを生成するときに新しいファイル拡張子を指定する。
encrypt -lang verilog -ext .vp -key keyfile.txt myip.v

次の例では、デザインのソース ファイル内で暗号キーを検索し、ターゲット言語を Verilog に指定し、暗号化するデザイン ファイルを指定し、暗号化されたファイルを生成するときに元のソース ファイルが上書きされないように新しいファイル拡張子を指定しています。

encrypt -lang verilog -ext .vp my_ip.v