petalinux-boot --jtag の例 - 2023.1 日本語

PetaLinux ツール資料: リファレンス ガイド (UG1144)

Document ID
UG1144
Release Date
2023-05-16
Version
2023.1 日本語

ターゲットにロードされるイメージは、次のディレクトリから選択できます。

  1. pre-built ディレクトリ: <plnx-proj-root>/pre-built/linux/images。これらは BSP と共にパックされているビルド済みイメージです。
  2. images ディレクトリ: <plnx-proj-root>/images/linux。これらはユーザーがビルドしたイメージです。

次に、petalinux-boot --jtag コマンドのユース ケースの例を示します。

  • Zynq 7000 デバイスの場合はビットストリームと FSBL をダウンロードし、Zynq UltraScale+ MPSoC の場合は FSBL と PMU ファームウェアをダウンロードします。
    petalinux-boot --jtag --prebuilt 1
    注記: イメージは <plnx-proj-root>/pre-built/linux/images ディレクトリから取得します。
  • ビットストリーム/ブートローダーのロード後、ターゲット ボードで U-Boot をブートします。
    petalinux-boot --jtag --prebuilt 2
    注記: イメージは <plnx-proj-root>/pre-built/linux/images ディレクトリから取得します。
    petalinux-boot --jtag --u-boot --fpga
    注記: イメージは <plnx-proj-root>/images/linux ディレクトリから取得します。
    • MicroBlaze™ プロセッサの場合、上記のコマンドを実行すると、ターゲット ボードにビットストリームをダウンロードし、ターゲット ボードで U-Boot をブートします。
    • Zynq 7000 デバイスの場合、ターゲット ボードにビットストリームと FSBL をダウンロードし、ターゲット ボードで U-Boot をブートします。
    • Zynq UltraScale+ MPSoC の場合、ビットストリーム、PMU ファームウェア、FSBL および Uboot をダウンロードし、ターゲット ボードで U-Boot をブートします。
    • AMD Versal™ アダプティブ SoC の場合、 BOOT.BIN (PDI、PLM ファームウェア、PSM ファームウェア、U-Boot、および DTB が含まれる) をダウンロードし、ターゲット ボードで U-Boot をブートします。
  • ビットストリーム、ブートローダー、および U-Boot のロード後、ターゲット ボードでビルド済みカーネルをブートします。
    petalinux-boot --jtag --prebuilt 3
    注記: イメージは <plnx-proj-root>/pre-built/linux/images ディレクトリから取得します。
    petalinux-boot --jtag --kernel
    注記: イメージは <plnx-proj-root>/images/linux ディレクトリから取得します。
  • イメージをロードする際にデバッグ メッセージを生成します。
  • petalinux-boot --jtag --u-boot/--kernel -v
    注記: イメージは <plnx-proj-root>/images/linux ディレクトリから取得します。
  • JTAG ブートの場合、cpio ルート ファイル システムを指定します。ZynqZynq UltraScale+ MPSoCVersal、および MicroBlaze をサポートしています。

  • JTAG を使用してカスタマイズした rootfs イメージとカーネルをブートします。
    petalinux-boot --jtag --kernel --rootfs images/linux/rootfs.cpio.gz.u-boot --hw_server-url xhdbfarmrkn3:3121
    [INFO] Sourcing buildtools
    INFO: Use bitstream: "/wrk/everest_fcv_nobkup/ssw_regress/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/system.bit.
    INFO: Please use --fpga --bitstream <BITSTREAM> to specify a bitstream if you want to use other bitstream.
    INFO: Launching XSDB for file download and boot.
    INFO: This may take a few minutes, depending on the size of your image.
    rlwrap: warning: your $TERM is 'xterm-256color' but rlwrap couldn't find it in the terminfo database. Expect some problems.: Inappropriate ioctl for device
    INFO: Configuring the FPGA...                                                                                   
    INFO: Downloading bitstream:/wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/system.bit to the target.
    INFO: Downloading ELF file: /wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/pmufw.elf to the target.
    INFO: Downloading ELF file: /wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/zynqmp_fsbl.elf to the target.
    INFO: Downloading ELF file: /wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/u-boot.elf to the target.
    INFO: Loading image: /wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/Image at 0x00200000
    INFO: Loading image: /wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/system.dtb at 0x00100000
    INFO: Loading image: /wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/rootfs.cpio.gz.u-boot at 0x04000000
    INFO: Loading image: /wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/boot.scr at 0x20000000
    INFO: Downloading ELF file: /wrk/everest_fcv_nobkup/logs/_zcu102_/xilinx-zcu102-2023.1/images/linux/bl31.elf to the target.
    [xhd-zcu102-16] Systest# connect serial
    Connecting to device serial.  Use Ctrl-\ to escape.
    Zynq MP First Stage Boot Loader 
    Release 2023.1   Mar 14 2023  -  10:10:26
    NOTICE:  BL31: v2.8(release):v1.1-11119-g81e24ae0b
    NOTICE:  BL31: Built : 04:35:19, Mar  7 2023
    
    
    U-Boot 2023.01 (Mar 10 2023 - 08:02:20 +0000)
    
    CPU:   ZynqMP
    Silicon: v3
    Chip:  zu9eg
    Model: ZynqMP ZCU102 Rev1.0
    Board: Xilinx ZynqMP
    DRAM:  2 GiB (effective 4 GiB)
    PMUFW:    v1.1
    PMUFW:  No permission to change config object
    EL Level:    EL2
    Secure Boot:    not authenticated, not encrypted
    Core:  76 devices, 31 uclasses, devicetree: board
    NAND:  0 MiB
    MMC:   mmc@ff170000: 0
    Loading Environment from nowhere... OK
    In:    serial
    Out:   serial
    Err:   serial
    Bootmode: JTAG_MODE
    Reset reason:    SRST 
    Net:   
    ZYNQ GEM: ff0e0000, mdio bus ff0e0000, phyaddr 12, interface rgmii-id
    
    Warning: ethernet@ff0e0000 (eth0) using random MAC address - a2:9d:36:66:bb:47
    eth0: ethernet@ff0e0000
    scanning bus for devices...
    SATA link 0 timeout.
    Target spinup took 0 ms.
    AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl SATA mode
    flags: 64bit ncq pm clo only pmp fbss pio slum part ccc apst 
    Disk ahci_scsi.id1lun0 not ready
    starting USB...
    Bus usb@fe200000: Register 2000440 NbrPorts 2
    Starting the controller
    USB XHCI 1.00
    scanning bus usb@fe200000 for devices... 1 USB Device(s) found
           scanning usb for storage devices... 0 Storage Device(s) found
    Hit any key to stop autoboot:  0 
    JTAG: Trying to boot script at 20000000
    ## Executing script at 20000000
    Trying to load boot images from jtag
    ## Loading init Ramdisk from Legacy Image at 04000000 ...
       Image Name:   petalinux-image-minimal-xilinx-z
       Created:      2011-04-05  23:00:00 UTC
       Image Type:   AArch64 Linux RAMDisk Image (uncompressed)
       Data Size:    31480675 Bytes = 30 MiB
       Load Address: 00000000
       Entry Point:  00000000
       Verifying Checksum ... OK
    ## Flattened Device Tree blob at 00100000
       Booting using the fdt blob at 0x100000
    Working FDT set to 100000
    Host not halted after 16000 microseconds.
       Loading Ramdisk to 79dee000, end 7bbf3b63 ... OK
       Loading Device Tree to 0000000079ddd000, end 0000000079dedfc8 ... OK
    Working FDT set to 79ddd000
    
    Starting kernel ...
    
    [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
    [    0.000000] Linux version 6.1.5-xilinx-v2023.1 (oe-user@oe-host) (aarch64-xilinx-linux-gcc (GCC) 12.2.0, GNU ld (GNU Binutils) 2.39.0.20220819) #1 SMP Fri Mar 10 08:10:46 UTC 2023
    [    0.000000] Machine model: ZynqMP ZCU102 Rev1.0
    [    0.000000] earlycon: cdns0 at MMIO 0x00000000ff000000 (options '115200n8')
    [    0.000000] printk: bootconsole [cdns0] enabled
    [    0.000000] efi: UEFI not found.
    [    0.000000] Zone ranges:
    [    0.000000]   DMA32    [mem 0x0000000000000000-0x00000000ffffffff]
    [    0.000000]   Normal   [mem 0x0000000100000000-0x000000087fffffff]
    [    0.000000] Movable zone start for each node
    [    0.000000] Early memory node ranges
    [    0.000000]   node   0: [mem 0x0000000000000000-0x000000007fefffff]
    [    0.000000]   node   0: [mem 0x0000000800000000-0x000000087fffffff]
    [    0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000087fffffff]
    [    0.000000] On node 0, zone Normal: 256 pages in unavailable ranges
    [    0.000000] cma: Reserved 256 MiB at 0x0000000069c00000
    [    0.000000] psci: probing for conduit method from DT.
    [    0.000000] psci: PSCIv1.1 detected in firmware.
    [    0.000000] psci: Using standard PSCI v0.2 function IDs
    [    0.000000] psci: MIGRATE_INFO_TYPE not supported.
    [    0.000000] psci: SMC Calling Convention v1.2
    [    0.000000] percpu: Embedded 18 pages/cpu s35816 r8192 d29720 u73728
    [    0.000000] Detected VIPT I-cache on CPU0
    [    0.000000] CPU features: detected: ARM erratum 845719
    [    0.000000] alternatives: applying boot alternatives
    [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 1031940
    [    0.000000] Kernel command line: earlycon console=ttyPS0,115200 clk_ignore_unused root=/dev/ram0 rw init_fatal_sh=1
    [    0.000000] Unknown kernel command line parameters "init_fatal_sh=1", will be passed to user space.
    [    0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes, linear)
    [    0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
    [    0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off
    [    0.000000] software IO TLB: area num 4.
    [    0.000000] software IO TLB: mapped [mem 0x000000007bf00000-0x000000007ff00000] (64MB)
    [    0.000000] Memory: 3731024K/4193280K available (14528K kernel code, 1020K rwdata, 4144K rodata, 2240K init, 376K bss, 200112K reserved, 262144K cma-reserved)
    [    0.000000] rcu: Hierarchical RCU implementation.
    [    0.000000] rcu:     RCU event tracing is enabled.
    [    0.000000] rcu:     RCU restricting CPUs from NR_CPUS=16 to nr_cpu_ids=4.
    [    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
    [    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
    [    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
    [    0.000000] GIC: Adjusting CPU interface base to 0x00000000f902f000
    [    0.000000] Root IRQ handler: gic_handle_irq
    [    0.000000] GIC: Using split EOI/Deactivate mode
    [    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
    [    0.000000] arch_timer: cp15 timer(s) running at 99.99MHz (phys).
    [    0.000000] clocksource: arch_sys_counter: mask: 0x1ffffffffffffff max_cycles: 0x170f8de2d3, max_idle_ns: 440795206112 ns
    [    0.000000] sched_clock: 57 bits at 100MHz, resolution 10ns, wraps every 4398046511101ns
    [    0.008462] Console: colour dummy device 80x25
    [    0.012559] Calibrating delay loop (skipped), value calculated using timer frequency.. 199.98 BogoMIPS (lpj=399960)
    [    0.022974] pid_max: default: 32768 minimum: 301
    [    0.027786] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
    [    0.034992] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear)
    [    0.043946] rcu: Hierarchical SRCU implementation.
    [    0.047601] rcu:     Max phase no-delay instances is 1000.
    [    0.053150] EFI services will not be available.
    [    0.057516] smp: Bringing up secondary CPUs ...
    [    0.062282] Detected VIPT I-cache on CPU1
    [    0.062353] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
    [    0.062788] Detected VIPT I-cache on CPU2
    [    0.062846] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
    [    0.063258] Detected VIPT I-cache on CPU3
    [    0.063316] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
    [    0.063364] smp: Brought up 1 node, 4 CPUs
    [    0.097539] SMP: Total of 4 processors activated.
    [    0.102238] CPU features: detected: 32-bit EL0 Support
    [    0.107372] CPU features: detected: CRC32 instructions
    [    0.112555] CPU: All CPU(s) started at EL2
    [    0.116596] alternatives: applying system-wide alternatives
    [    0.123273] devtmpfs: initialized
    [    0.132307] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
    [    0.136437] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
    [    0.149162] pinctrl core: initialized pinctrl subsystem
    [    0.149658] DMI not present or invalid.
    [    0.152994] NET: Registered PF_NETLINK/PF_ROUTE protocol family
    [    0.159267] DMA: preallocated 512 KiB GFP_KERNEL pool for atomic allocations
    [    0.165640] DMA: preallocated 512 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
    [    0.173495] audit: initializing netlink subsys (disabled)
    [    0.178946] audit: type=2000 audit(0.116:1): state=initialized audit_enabled=0 res=1
    [    0.179322] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
    [    0.193442] ASID allocator initialised with 65536 entries
    [    0.198915] Serial: AMBA PL011 UART driver
    [    0.225991] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
    [    0.227153] HugeTLB: 16380 KiB vmemmap can be freed for a 1.00 GiB page
    [    0.233762] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
    [    0.240546] HugeTLB: 508 KiB vmemmap can be freed for a 32.0 MiB page
    [    0.246986] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
    [    0.253771] HugeTLB: 28 KiB vmemmap can be freed for a 2.00 MiB page
    [    0.260124] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
    [    0.266909] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
    [    0.341239] raid6: neonx8   gen()  2259 MB/s
    [    0.409305] raid6: neonx4   gen()  2216 MB/s
    [    0.477372] raid6: neonx2   gen()  2121 MB/s
    [    0.545440] raid6: neonx1   gen()  1809 MB/s
    [    0.613496] raid6: int64x8  gen()  1415 MB/s
    [    0.681551] raid6: int64x4  gen()  1566 MB/s
    [    0.749619] raid6: int64x2  gen()  1394 MB/s
    [    0.817693] raid6: int64x1  gen()  1033 MB/s
    [    0.817733] raid6: using algorithm neonx8 gen() 2259 MB/s
    [    0.889768] raid6: .... xor() 1655 MB/s, rmw enabled
    [    0.889812] raid6: using neon recovery algorithm
    [    0.894239] iommu: Default domain type: Translated 
    [    0.898573] iommu: DMA domain TLB invalidation policy: strict mode 
    [    0.905061] SCSI subsystem initialized
    [    0.908722] usbcore: registered new interface driver usbfs
    [    0.914082] usbcore: registered new interface driver hub
    [    0.919382] usbcore: registered new device driver usb
    [    0.924492] mc: Linux media interface: v0.10
    [    0.928698] videodev: Linux video capture interface: v2.00
    [    0.934181] pps_core: LinuxPPS API ver. 1 registered
    [    0.939114] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
    [    0.948258] PTP clock support registered
    [    0.952185] EDAC MC: Ver: 3.0.0
    [    0.955623] zynqmp-ipi-mbox mailbox@ff9905c0: Registered ZynqMP IPI mbox with TX/RX channels.
    [    0.964060] FPGA manager framework
    [    0.967350] Advanced Linux Sound Architecture Driver Initialized.
    [    0.973633] Bluetooth: Core ver 2.22
    [    0.976887] NET: Registered PF_BLUETOOTH protocol family
    [    0.982185] Bluetooth: HCI device and connection manager initialized
    [    0.988538] Bluetooth: HCI socket layer initialized
    [    0.993409] Bluetooth: L2CAP socket layer initialized
    [    0.998460] Bluetooth: SCO socket layer initialized
    [    1.003666] clocksource: Switched to clocksource arch_sys_counter
    [    1.009588] VFS: Disk quotas dquot_6.6.0
    [    1.013372] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
    [    1.025299] NET: Registered PF_INET protocol family
    [    1.025497] IP idents hash table entries: 65536 (order: 7, 524288 bytes, linear)
    [    1.035209] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear)
    [    1.041033] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
    [    1.048753] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear)
    [    1.056870] TCP bind hash table entries: 32768 (order: 8, 1048576 bytes, linear)
    [    1.064825] TCP: Hash tables configured (established 32768 bind 32768)
    [    1.070659] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear)
    [    1.077356] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear)
    [    1.084570] NET: Registered PF_UNIX/PF_LOCAL protocol family
    [    1.090401] RPC: Registered named UNIX socket transport module.
    [    1.095997] RPC: Registered udp transport module.
    [    1.100691] RPC: Registered tcp transport module.
    [    1.105388] RPC: Registered tcp NFSv4.1 backchannel transport module.
    [    1.111832] PCI: CLS 0 bytes, default 64
    [    1.115951] Trying to unpack rootfs image as initramfs...
    [    1.122358] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available
    [    1.130251] Initialise system trusted keyrings
    [    1.133495] workingset: timestamp_bits=46 max_order=20 bucket_order=0
    [    1.141135] NFS: Registering the id_resolver key type
    [    1.144850] Key type id_resolver registered
    [    1.148979] Key type id_legacy registered
    [    1.153018] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
    [    1.159682] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
    [    1.167412] jffs2: version 2.2. (NAND) (SUMMARY)  © 2001-2006 Red Hat, Inc.
    [    1.210175] NET: Registered PF_ALG protocol family
    [    1.210244] xor: measuring software checksum speed
    [    1.218033]    8regs           :  2521 MB/sec
    [    1.222372]    32regs          :  2522 MB/sec
    [    1.227008]    arm64_neon      :  2349 MB/sec
    [    1.227169] xor: using function: 32regs (2522 MB/sec)
    [    1.232227] Key type asymmetric registered
    [    1.236313] Asymmetric key parser 'x509' registered
    [    1.241253] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 244)
    [    1.248586] io scheduler mq-deadline registered
    [    1.253107] io scheduler kyber registered
    [    1.260800] irq-xilinx: mismatch in kind-of-intr param
    [    1.262234] irq-xilinx: /amba_pl@0/interrupt-controller@80020000: num_irq=32, sw_irq=0, edge=0x1
    [    1.305165] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled
    [    1.307180] Serial: AMBA driver
    [    1.314956] brd: module loaded
    [    1.318402] loop: module loaded
    [    1.322555] tun: Universal TUN/TAP device driver, 1.6
    [    1.322722] CAN device driver interface
    [    1.326788] usbcore: registered new interface driver asix
    [    1.331238] usbcore: registered new interface driver ax88179_178a
    [    1.337321] usbcore: registered new interface driver cdc_ether
    [    1.343146] usbcore: registered new interface driver net1080
    [    1.348804] usbcore: registered new interface driver cdc_subset
    [    1.354720] usbcore: registered new interface driver zaurus
    [    1.360314] usbcore: registered new interface driver cdc_ncm
    [    1.365946] usbcore: registered new interface driver r8153_ecm
    [    1.372834] usbcore: registered new interface driver uas
    [    1.377093] usbcore: registered new interface driver usb-storage
    [    1.384044] rtc_zynqmp ffa60000.rtc: registered as rtc0
    [    1.388294] rtc_zynqmp ffa60000.rtc: setting system clock to 1970-01-07T00:06:34 UTC (518794)
    [    1.396962] i2c_dev: i2c /dev entries driver
    [    1.403370] usbcore: registered new interface driver uvcvideo
    [    1.407963] Bluetooth: HCI UART driver ver 2.3
    [    1.411238] Bluetooth: HCI UART protocol H4 registered
    [    1.416396] Bluetooth: HCI UART protocol BCSP registered
    [    1.421721] Bluetooth: HCI UART protocol LL registered
    [    1.426816] Bluetooth: HCI UART protocol ATH3K registered
    [    1.432243] Bluetooth: HCI UART protocol Three-wire (H5) registered
    [    1.438596] Bluetooth: HCI UART protocol Intel registered
    [    1.443889] Bluetooth: HCI UART protocol QCA registered
    [    1.449135] usbcore: registered new interface driver bcm203x
    [    1.454779] usbcore: registered new interface driver bpa10x
    [    1.460364] usbcore: registered new interface driver bfusb
    [    1.465825] usbcore: registered new interface driver btusb
    [    1.471329] usbcore: registered new interface driver ath3k
    [    1.476940] EDAC MC: ECC not enabled
    [    1.480578] EDAC DEVICE0: Giving out device to module edac controller cache_err: DEV edac (POLLED)
    [    1.489295] cortex_edac edac: cortex l1/l2 driver is deprecated
    [    1.495586] EDAC DEVICE1: Giving out device to module zynqmp-ocm-edac controller zynqmp_ocm: DEV ff960000.memory-controller (INTERRUPT)
    [    1.508201] sdhci: Secure Digital Host Controller Interface driver
    [    1.513571] sdhci: Copyright(c) Pierre Ossman
    [    1.517915] sdhci-pltfm: SDHCI platform and OF driver helper
    [    1.524350] ledtrig-cpu: registered to indicate activity on CPUs
    [    1.529655] SMCCC: SOC_ID: ID = jep106:0049:0000 Revision = 0x24738093
    [    1.536204] zynqmp_firmware_probe Platform Management API v1.1
    [    1.541975] zynqmp_firmware_probe Trustzone version v1.0
    [    1.578636] securefw securefw: securefw probed
    [    1.578975] zynqmp-aes zynqmp-aes.0: will run requests pump with realtime priority
    [    1.585737] usbcore: registered new interface driver usbhid
    [    1.590681] usbhid: USB HID core driver
    [    1.597707] ARM CCI_400_r1 PMU driver probed
    [    1.598471] fpga_manager fpga0: Xilinx ZynqMP FPGA Manager registered
    [    1.605978] usbcore: registered new interface driver snd-usb-audio
    [    1.612572] pktgen: Packet Generator for packet performance testing. Version: 2.75
    [    1.620410] Initializing XFRM netlink socket
    [    1.623279] NET: Registered PF_INET6 protocol family
    [    1.628850] Segment Routing with IPv6
    [    1.631867] In-situ OAM (IOAM) with IPv6
    [    1.635856] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
    [    1.642131] NET: Registered PF_PACKET protocol family
    [    1.646718] NET: Registered PF_KEY protocol family
    [    1.651509] can: controller area network core
    [    1.655883] NET: Registered PF_CAN protocol family
    [    1.660630] can: raw protocol
    [    1.663584] can: broadcast manager protocol
    [    1.667781] can: netlink gateway - max_hops=1
    [    1.672306] Bluetooth: RFCOMM TTY layer initialized
    [    1.676998] Bluetooth: RFCOMM socket layer initialized
    [    1.682130] Bluetooth: RFCOMM ver 1.11
    [    1.685865] Bluetooth: BNEP (Ethernet Emulation) ver 1.3
    [    1.691165] Bluetooth: BNEP filters: protocol multicast
    [    1.696396] Bluetooth: BNEP socket layer initialized
    [    1.701346] Bluetooth: HIDP (Human Interface Emulation) ver 1.2
    [    1.707270] Bluetooth: HIDP socket layer initialized
    [    1.712260] 8021q: 802.1Q VLAN Support v1.8
    [    1.716637] 9pnet: Installing 9P2000 support
    [    1.720710] Key type dns_resolver registered
    [    1.725162] registered taskstats version 1
    [    1.729024] Loading compiled-in X.509 certificates
    [    1.736030] Btrfs loaded, crc32c=crc32c-generic, zoned=no, fsverity=no
    [    1.740519] alg: No test for xilinx-zynqmp-rsa (zynqmp-rsa)
    [    2.551028] Freeing initrd memory: 30740K
    [    2.925458] ff000000.serial: ttyPS0 at MMIO 0xff000000 (irq = 25, base_baud = 6249375) is a xuartps
    [    2.934545] printk: console [ttyPS0] enabled
    [    2.934545] printk: console [ttyPS0] enabled
    [    2.938849] printk: bootconsole [cdns0] disabled
    [    2.938849] printk: bootconsole [cdns0] disabled
    [    2.948458] ff010000.serial: ttyPS1 at MMIO 0xff010000 (irq = 26, base_baud = 6249375) is a xuartps
    [    2.961604] of-fpga-region fpga-full: FPGA Region probed
    [    2.967876] gpio gpiochip1: (zynqmp_gpio): not an immutable chip, please consider fixing it!
    [    2.977651] nwl-pcie fd0e0000.pcie: host bridge /axi/pcie@fd0e0000 ranges:
    [    2.984551] nwl-pcie fd0e0000.pcie:      MEM 0x00e0000000..0x00efffffff -> 0x00e0000000
    [    2.992573] nwl-pcie fd0e0000.pcie:      MEM 0x0600000000..0x07ffffffff -> 0x0600000000
    [    3.000675] nwl-pcie fd0e0000.pcie: Link is DOWN
    [    3.005515] nwl-pcie fd0e0000.pcie: PCI host bridge to bus 0000:00
    [    3.011692] pci_bus 0000:00: root bus resource [bus 00-ff]
    [    3.017176] pci_bus 0000:00: root bus resource [mem 0xe0000000-0xefffffff]
    [    3.024050] pci_bus 0000:00: root bus resource [mem 0x600000000-0x7ffffffff pref]
    [    3.031557] pci 0000:00:00.0: [10ee:d021] type 01 class 0x060400
    [    3.037619] pci 0000:00:00.0: PME# supported from D0 D1 D2 D3hot
    [    3.045838] pci 0000:00:00.0: PCI bridge to [bus 01-0c]
    [    3.054856] xilinx-zynqmp-dpdma fd4c0000.dma-controller: Xilinx DPDMA engine is probed
    [    3.063476] spi-nor spi0.0: found mt25qu512a, expected m25p80
    [    3.069428] spi-nor spi0.0: mt25qu512a (131072 Kbytes)
    [    3.074674] 3 fixed-partitions partitions found on MTD device spi0.0
    [    3.081033] Creating 3 MTD partitions on "spi0.0":
    [    3.085828] 0x000000000000-0x000001e00000 : "qspi-boot"
    [    3.091906] 0x000001e00000-0x000001e40000 : "qspi-bootenv"
    [    3.098128] 0x000001e40000-0x000004240000 : "qspi-kernel"
    [    3.106696] macb ff0e0000.ethernet: Not enabling partial store and forward
    [    3.136733] xilinx-axipmon ffa00000.perf-monitor: Probed Xilinx APM
    [    3.143295] xilinx-axipmon fd0b0000.perf-monitor: Probed Xilinx APM
    [    3.149797] xilinx-axipmon fd490000.perf-monitor: Probed Xilinx APM
    [    3.156297] xilinx-axipmon ffa10000.perf-monitor: Probed Xilinx APM
    [    3.163520] i2c i2c-0: using pinctrl states for GPIO recovery
    [    3.169470] gpio-348 (scl): enforced open drain please flag it properly in DT/ACPI DSDT/board file
    [    3.178456] i2c i2c-0: using generic GPIOs for recovery
    [    3.184050] pca953x 0-0020: supply vcc not found, using dummy regulator
    [    3.190745] pca953x 0-0020: using no AI
    [    3.195416] pca953x 0-0021: supply vcc not found, using dummy regulator
    [    3.202095] pca953x 0-0021: using no AI
    [    3.215432] i2c i2c-0: Added multiplexed i2c bus 2
    [    3.227107] i2c i2c-0: Added multiplexed i2c bus 3
    [    3.299136] i2c i2c-0: Added multiplexed i2c bus 4
    [    3.304059] i2c i2c-0: Added multiplexed i2c bus 5
    [    3.308850] pca954x 0-0075: registered 4 multiplexed busses for I2C mux pca9544
    [    3.316207] cdns-i2c ff020000.i2c: 400 kHz mmio ff020000 irq 53
    [    3.323119] i2c i2c-1: using pinctrl states for GPIO recovery
    [    3.329042] gpio-350 (scl): enforced open drain please flag it properly in DT/ACPI DSDT/board file
    [    3.338002] i2c i2c-1: using generic GPIOs for recovery
    [    3.343947] at24 6-0054: supply vcc not found, using dummy regulator
    [    3.350854] at24 6-0054: 1024 byte 24c08 EEPROM, writable, 1 bytes/write
    [    3.357595] i2c i2c-1: Added multiplexed i2c bus 6
    [    3.362953] si5341 7-0036: no regulator set, defaulting vdd_sel to 2.5V for out
    [    3.370266] si5341 7-0036: no regulator set, defaulting vdd_sel to 2.5V for out
    [    3.377571] si5341 7-0036: no regulator set, defaulting vdd_sel to 2.5V for out
    [    3.384870] si5341 7-0036: no regulator set, defaulting vdd_sel to 2.5V for out
    [    3.392171] si5341 7-0036: no regulator set, defaulting vdd_sel to 2.5V for out
    [    3.399472] si5341 7-0036: no regulator set, defaulting vdd_sel to 2.5V for out
    [    3.406777] si5341 7-0036: no regulator set, defaulting vdd_sel to 2.5V for out
    [    3.414083] si5341 7-0036: no regulator set, defaulting vdd_sel to 2.5V for out
    [    3.422504] si5341 7-0036: Chip: 5341 Grade: 1 Rev: 1
    [    3.461080] i2c i2c-1: Added multiplexed i2c bus 7
    [    3.468748] si570 8-005d: registered, current frequency 300000000 Hz
    [    3.475140] i2c i2c-1: Added multiplexed i2c bus 8
    [    3.494912] si570 9-005d: registered, current frequency 148500000 Hz
    [    3.501305] i2c i2c-1: Added multiplexed i2c bus 9
    [    3.506316] si5324 10-0069: si5328 probed
    [    3.572047] si5324 10-0069: si5328 probe successful
    [    3.576960] i2c i2c-1: Added multiplexed i2c bus 10
    [    3.581967] i2c i2c-1: Added multiplexed i2c bus 11
    [    3.586979] i2c i2c-1: Added multiplexed i2c bus 12
    [    3.591990] i2c i2c-1: Added multiplexed i2c bus 13
    [    3.596871] pca954x 1-0074: registered 8 multiplexed busses for I2C switch pca9548
    [    3.604826] i2c i2c-1: Added multiplexed i2c bus 14
    [    3.609839] i2c i2c-1: Added multiplexed i2c bus 15
    [    3.614858] i2c i2c-1: Added multiplexed i2c bus 16
    [    3.619881] i2c i2c-1: Added multiplexed i2c bus 17
    [    3.624902] i2c i2c-1: Added multiplexed i2c bus 18
    [    3.629918] i2c i2c-1: Added multiplexed i2c bus 19
    [    3.634940] i2c i2c-1: Added multiplexed i2c bus 20
    [    3.639954] i2c i2c-1: Added multiplexed i2c bus 21
    [    3.644833] pca954x 1-0075: registered 8 multiplexed busses for I2C switch pca9548
    [    3.652433] cdns-i2c ff030000.i2c: 400 kHz mmio ff030000 irq 54
    [    3.662363] cdns-wdt fd4d0000.watchdog: Xilinx Watchdog Timer with timeout 60s
    [    3.669864] cdns-wdt ff150000.watchdog: Xilinx Watchdog Timer with timeout 10s
    [    3.677598] cpufreq: cpufreq_online: CPU0: Running at unlisted initial frequency: 1199880 KHz, changing to: 1199999 KHz
    [    3.690257] zynqmp-display fd4a0000.display: vtc bridge property not present
    [    3.699100] xilinx-dp-snd-codec fd4a0000.display:zynqmp_dp_snd_codec0: Xilinx DisplayPort Sound Codec probed
    [    3.709189] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm0: Xilinx DisplayPort Sound PCM probed
    [    3.717256] xilinx-dp-snd-pcm zynqmp_dp_snd_pcm1: Xilinx DisplayPort Sound PCM probed
    [    3.722671] mmc0: SDHCI controller on ff170000.mmc [ff170000.mmc] using ADMA 64-bit
    [    3.733369] xilinx-dp-snd-card fd4a0000.display:zynqmp_dp_snd_card: Xilinx DisplayPort Sound Card probed
    [    3.742952] OF: graph: no port node found in /axi/display@fd4a0000
    [    3.749483] xlnx-drm xlnx-drm.0: bound fd4a0000.display (ops 0xffff800008f18588)
    [    3.994698] mmc0: new high speed SDHC card at address aaaa
    [    4.000572] mmcblk0: mmc0:aaaa SP32G 29.7 GiB 
    [    4.008060]  mmcblk0: p1
    [    4.835694] zynqmp-display fd4a0000.display: [drm] Cannot find any crtc or sizes
    [    4.843341] [drm] Initialized xlnx 1.0.0 20130509 for fd4a0000.display on minor 0
    [    4.850839] zynqmp-display fd4a0000.display: ZynqMP DisplayPort Subsystem driver probed
    [    4.859105] ahci-ceva fd0c0000.ahci: supply ahci not found, using dummy regulator
    [    4.866665] ahci-ceva fd0c0000.ahci: supply phy not found, using dummy regulator
    [    4.874135] ahci-ceva fd0c0000.ahci: supply target not found, using dummy regulator
    [    4.882015] ahci-ceva fd0c0000.ahci: AHCI 0001.0301 32 slots 2 ports 6 Gbps 0x3 impl platform mode
    [    4.890977] ahci-ceva fd0c0000.ahci: flags: 64bit ncq sntf pm clo only pmp fbs pio slum part ccc sds apst 
    [    4.901420] scsi host0: ahci-ceva
    [    4.905028] scsi host1: ahci-ceva
    [    4.908450] ata1: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x100 irq 59
    [    4.916367] ata2: SATA max UDMA/133 mmio [mem 0xfd0c0000-0xfd0c1fff] port 0x180 irq 59
    [    4.925887] macb ff0e0000.ethernet: Not enabling partial store and forward
    [    4.937606] macb ff0e0000.ethernet eth0: Cadence GEM rev 0x50070106 at 0xff0e0000 irq 51 (a2:9d:36:66:bb:47)
    [    4.973165] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
    [    4.978674] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 1
    [    4.986424] xhci-hcd xhci-hcd.1.auto: hcc params 0x0238f625 hci version 0x100 quirks 0x0000000002010810
    [    4.995845] xhci-hcd xhci-hcd.1.auto: irq 60, io mem 0xfe200000
    [    5.001859] xhci-hcd xhci-hcd.1.auto: xHCI Host Controller
    [    5.007350] xhci-hcd xhci-hcd.1.auto: new USB bus registered, assigned bus number 2
    [    5.015005] xhci-hcd xhci-hcd.1.auto: Host supports USB 3.0 SuperSpeed
    [    5.021643] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 6.01
    [    5.029911] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1
    [    5.037126] usb usb1: Product: xHCI Host Controller
    [    5.041994] usb usb1: Manufacturer: Linux 6.1.5-xilinx-v2023.1 xhci-hcd
    [    5.048599] usb usb1: SerialNumber: xhci-hcd.1.auto
    [    5.053815] hub 1-0:1.0: USB hub found
    [    5.057594] hub 1-0:1.0: 1 port detected
    [    5.061834] usb usb2: New USB device found, idVendor=1d6b, idProduct=0003, bcdDevice= 6.01
    [    5.070099] usb usb2: New USB device strings: Mfr=3, Product=2, SerialNumber=1
    [    5.077318] usb usb2: Product: xHCI Host Controller
    [    5.082189] usb usb2: Manufacturer: Linux 6.1.5-xilinx-v2023.1 xhci-hcd
    [    5.088800] usb usb2: SerialNumber: xhci-hcd.1.auto
    [    5.093942] hub 2-0:1.0: USB hub found
    [    5.097716] hub 2-0:1.0: 1 port detected
    [    5.104700] input: gpio-keys as /devices/platform/gpio-keys/input/input0
    [    5.111833] of_cfs_init
    [    5.114282] of_cfs_init: OK
    [    5.117128] clk: Not disabling unused clocks
    [    5.121394] ALSA device list:
    [    5.124366]   #0: DP mon
    [    5.245888] ata2: found unknown device (class 0)
    [    5.247709] usb usb2-port1: config error
    [    5.250517] ata1: SATA link down (SStatus 0 SControl 330)
    [    5.419668] ata2: found unknown device (class 0)
    [    5.424287] ata2: SATA link up 3.0 Gbps (SStatus 123 SControl 330)
    [    5.430465] ata2: link online but 1 devices misclassified, retrying
    [    5.436721] ata2: reset failed (errno=-11), retrying in 10 secs
    [    5.919694] zynqmp-display fd4a0000.display: [drm] Cannot find any crtc or sizes
    [    9.323724] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [    9.330278] usb usb2-port1: config error
    [   13.403724] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   13.410276] usb usb2-port1: config error
    [   15.261882] ata2: found unknown device (class 0)
    [   15.427669] ata2: found unknown device (class 0)
    [   15.432285] ata2: SATA link up 3.0 Gbps (SStatus 123 SControl 330)
    [   15.438464] ata2: link online but 1 devices misclassified, retrying
    [   15.444721] ata2: reset failed (errno=-11), retrying in 10 secs
    [   17.483723] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   17.490275] usb usb2-port1: config error
    [   21.563723] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   21.570274] usb usb2-port1: config error
    [   25.542343] ata2: found unknown device (class 0)
    [   25.643723] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   25.650273] usb usb2-port1: config error
    [   25.707669] ata2: found unknown device (class 0)
    [   25.712280] ata2: SATA link up 3.0 Gbps (SStatus 123 SControl 330)
    [   25.718460] ata2: link online but 1 devices misclassified, retrying
    [   25.724717] ata2: reset failed (errno=-11), retrying in 35 secs
    [   29.723724] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   29.730272] usb usb2-port1: config error
    [   33.803724] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   33.810271] usb usb2-port1: config error
    [   37.883729] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   37.890278] usb usb2-port1: config error
    [   41.963726] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   41.970278] usb usb2-port1: config error
    [   46.043724] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   46.050277] usb usb2-port1: config error
    [   50.123724] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   50.130276] usb usb2-port1: config error
    [   54.203724] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   54.210275] usb usb2-port1: config error
    [   58.283723] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   58.290274] usb usb2-port1: config error
    [   60.515670] ata2: limiting SATA link speed to 1.5 Gbps
    [   60.904692] ata2: found unknown device (class 0)
    [   61.067670] ata2: found unknown device (class 0)
    [   61.072284] ata2: SATA link up 1.5 Gbps (SStatus 113 SControl 310)
    [   61.078463] ata2: link online but 1 devices misclassified, device detection might fail
    [   61.086911] Freeing unused kernel memory: 2240K
    [   61.091510] Run /init as init process
    [   61.108085] systemd[1]: System time before build time, advancing clock.
    [   61.119195] systemd[1]: systemd 251.8+ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -TPM2 -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK +XKBCOMMON +UTMP +SYSVINIT default-hierarchy=hybrid)
    [   61.150917] systemd[1]: Detected architecture arm64.
    
    Welcome to PetaLinux 2023.1+release-S03151236 (langdale)!
    
    [   61.219870] systemd[1]: Hostname set to <xilinx-zcu102-20231>.
    [   61.225830] systemd[1]: Initializing machine ID from random generator.
    [   61.285589] systemd-sysv-generator[184]: SysV service '/etc/init.d/watchdog-init' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
    [   61.311848] systemd-sysv-generator[184]: SysV service '/etc/init.d/sshd' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
    [   61.336571] systemd-sysv-generator[184]: SysV service '/etc/init.d/nfsserver' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
    [   61.360931] systemd-sysv-generator[184]: SysV service '/etc/init.d/inetd.busybox' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust.
    [   61.581089] systemd[1]: Queued start job for default target Multi-User System.
    [   61.629952] systemd[1]: Created slice Slice /system/getty.
    [  OK  ] Created slice Slice /system/getty.
    [   61.653172] systemd[1]: Created slice Slice /system/modprobe.
    [  OK  ] Created slice Slice /system/modprobe.
    [   61.677034] systemd[1]: Created slice Slice /system/serial-getty.
    [  OK  ] Created slice Slice /system/serial-getty.
    [   61.700726] systemd[1]: Created slice User and Session Slice.
    [  OK  ] Created slice User and Session Slice.
    [   61.723921] systemd[1]: Started Dispatch Password Requests to Console Directory Watch.
    [  OK  ] Started Dispatch Password …ts to Console Directory Watch.
    [   61.747862] systemd[1]: Started Forward Password Requests to Wall Directory Watch.
    [  OK  ] Started Forward Password R…uests to Wall Directory Watch.
    [   61.771918] systemd[1]: Reached target Path Units.
    [  OK  ] Reached target Path Units.
    [   61.787789] systemd[1]: Reached target Remote File Systems.
    [  OK  ] Reached target Remote File Systems.
    [   61.807760] systemd[1]: Reached target Slice Units.
    [  OK  ] Reached target Slice Units.
    [   61.823772] systemd[1]: Reached target Swaps.
    [  OK  ] Reached target Swaps.
    [   61.840206] systemd[1]: Listening on RPCbind Server Activation Socket.
    [  OK  ] Listening on RPCbind Server Activation Socket.
    [   61.863758] systemd[1]: Reached target RPC Port Mapper.
    [  OK  ] Reached target RPC Port Mapper.
    [   61.884082] systemd[1]: Listening on Syslog Socket.
    [  OK  ] Listening on Syslog Socket.
    [   61.899955] systemd[1]: Listening on initctl Compatibility Named Pipe.
    [  OK  ] Listening on initctl Compatibility Named Pipe.
    [   61.924209] systemd[1]: Listening on Journal Audit Socket.
    [  OK  ] Listening on Journal Audit Socket.
    [   61.943978] systemd[1]: Listening on Journal Socket (/dev/log).
    [  OK  ] Listening on Journal Socket (/dev/log).
    [   61.964071] systemd[1]: Listening on Journal Socket.
    [  OK  ] Listening on Journal Socket.
    [   61.980195] systemd[1]: Listening on Network Service Netlink Socket.
    [  OK  ] Listening on Network Service Netlink Socket.
    [   62.004079] systemd[1]: Listening on udev Control Socket.
    [  OK  ] Listening on udev Control Socket.
    [   62.023970] systemd[1]: Listening on udev Kernel Socket.
    [  OK  ] Listening on udev Kernel Socket.
    [   62.044012] systemd[1]: Listening on User Database Manager Socket.
    [  OK  ] Listening on User Database Manager Socket.
    [   62.091939] systemd[1]: Mounting Huge Pages File System...
             Mounting Huge Pages File System...
    [   62.110583] systemd[1]: Mounting POSIX Message Queue File System...
             Mounting POSIX Message Queue File System...
    [   62.134722] systemd[1]: Mounting Kernel Debug File System...
             Mounting Kernel Debug File System...
    [   62.152127] systemd[1]: Kernel Trace File System was skipped because of a failed condition check (ConditionPathExists=/sys/kernel/tracing).
    [   62.167709] systemd[1]: Mounting Temporary Directory /tmp...
             Mounting Temporary Directory /tmp...
    [   62.184031] systemd[1]: Create List of Static Device Nodes was skipped because of a failed condition check (ConditionFileNotEmpty=/lib/modules/6.1.5-xilinx-v2023.1/modules.devname).
    [   62.203482] systemd[1]: Starting Load Kernel Module configfs...
             Starting Load Kernel Module configfs...
    [   62.222909] systemd[1]: Starting Load Kernel Module drm...
             Starting Load Kernel Module drm...
    [   62.242925] systemd[1]: Starting Load Kernel Module fuse...
             Starting Load Kernel Module fuse...
    [   62.263023] systemd[1]: Starting RPC Bind...
             Starting RPC Bind...
    [   62.279883] systemd[1]: File System Check on Root Device was skipped because of a failed condition check (ConditionPathIsReadWrite=!/).
    [   62.292817] systemd[1]: systemd-journald.service: unit configures an IP firewall, but the local system does not support BPF/cgroup firewalling.
    [   62.305701] systemd[1]: (This warning is only shown for the first unit using IP firewalling.)
    [   62.318002] systemd[1]: Starting Journal Service...
             Starting Journal Service...
    [   62.332407] systemd[1]: Load Kernel Modules was skipped because all trigger condition checks failed.
    [   62.364054] systemd[1]: Mounting NFSD configuration filesystem...
             Mounting NFSD configuration filesystem...
    [   62.371776] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   62.382737] usb usb2-port1: config error
    [   62.394854] systemd[1]: Starting Generate network units from Kernel command line...
             Starting Generate network …ts from Kernel command line...
    [   62.422948] systemd[1]: Starting Remount Root and Kernel File Systems...
             Starting Remount Root and Kernel File Systems...
    [   62.446973] systemd[1]: Starting Apply Kernel Variables...
             Starting Apply Kernel Variables...
    [   62.467040] systemd[1]: Starting Coldplug All udev Devices...
             Starting Coldplug All udev Devices...
    [   62.488577] systemd[1]: Started RPC Bind.
    [  OK  ] Started RPC Bind.
    [   62.504225] systemd[1]: Started Journal Service.
    [  OK  ] Started Journal Service.
    [  OK  ] Mounted Huge Pages File System.
    [  OK  ] Mounted POSIX Message Queue File System.
    [  OK  ] Mounted Kernel Debug File System.
    [  OK  ] Mounted Temporary Directory /tmp.
    [  OK  ] Finished Load Kernel Module configfs.
    [  OK  ] Finished Load Kernel Module drm.
    [  OK  ] Finished Load Kernel Module fuse[   62.623689] ata2: found unknown device (class 0)
    .
    [  OK  ] Mounted NFSD configuration filesystem.
    [  OK  ] Finished Generate network units from Kernel command line.
    [  OK  ] Finished Remount Root and Kernel File Systems.
    [  OK  ] Finished Apply Kernel Variables.
    [  OK  ] Reached target Preparation for Network.
             Mounting Kernel Configuration File System...
             Starting Flush Journal to Persistent Storage...
    [   62.778483] systemd-journald[195]: Received client request to flush runtime journal.
    [   62.791693] ata2: found unknown device (class 0)
    [   62.796329] ata2: SATA link up 3.0 Gbps (SStatus 123 SControl 330)
    [   62.802515] ata2: link online but 1 devices misclassified, retrying
    [   62.808778] ata2: reset failed (errno=-11), retrying in 9 secs
             Starting Create System Users...
    [  OK  ] Mounted Kernel Configuration File System.
    [  OK  ] Finished Flush Journal to Persistent Storage.
    [  OK  ] Finished Create System Users.
             Starting Create Static Device Nodes in /dev...
    [  OK  ] Finished Create Static Device Nodes in /dev.
    [  OK  ] Reached target Preparation for Local File Systems.
             Mounting /var/volatile...
             Starting Rule-based Manage…for Device Events and Files...
    [  OK  ] Mounted /var/volatile.
             Starting Load/Save Random Seed...
    [  OK  ] Reached target Local File Systems.
             Starting Rebuild Dynamic Linker Cache...
             Starting Create Volatile Files and Directories...
    [  OK  ] Started Rule-based Manager for Device Events and Files.
    [  OK  ] Finished Rebuild Dynamic Linker Cache.
    [  OK  ] Finished Create Volatile Files and Directories.
             Starting Run pending postinsts...
             Starting Rebuild Journal Catalog...
    [   63.188071] Unloading old XRT Linux kernel modules
             Starting Network Configuration...
             Starting Network Name Resolution...
    [   63.219482] Loading new XRT Linux kernel modules
             Starting Network Time Synchronization...
             Starting Record System Boot/Shutdown in UTMP\u001B[0[   63.243994] zocl: loading out-of-tree module taints kernel.
    m...
    [  OK  ] Finished Rebuild Journal Catalog.
    [   63.278993] [drm] Probing for xlnx,zocl
    [   63.295238] zocl-drm amba_pl@0:zyxclmm_drm: error -ENXIO: IRQ index 32 not found
    [   63.310001] [drm] FPGA programming device pcap founded.
    [   63.315370] [drm] PR[0] Isolation addr 0x0
    [   63.316777] [drm] Initialized zocl 2.15.0 20230317 for amba_pl@0:zyxclmm_drm on minor 1
             Starting Update is Completed...
    [  OK  ] Finished Record System Boot/Shutdown in UTMP.
    [  OK  ] Finished Update is Completed.
    [   63.409586] INFO: Creating ICD entry for Xilinx Platform
    [  OK  ] Finished Coldplug All udev Devices.
    [   63.939429] cfg80211: Loading compiled-in X.509 certificates for regulatory database
    [  OK  ] Finished Run pending postinsts.
    [   64.075700] random: crng init done
    [  OK  ] Finished Load/Save Random Seed.
    [   64.124916] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
    [   64.132533] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
    [   64.141209] cfg80211: failed to load regulatory.db
    [  OK  ] Started Network Configuration.
    [   64.229296] macb ff0e0000.ethernet eth0: PHY [ff0e0000.ethernet-ffffffff:0c] driver [TI DP83867] (irq=POLL)
    [   64.241374] macb ff0e0000.ethernet eth0: configuring for phy/rgmii-id link mode
    [   64.277986] pps pps0: new PPS source ptp0
    [   64.293704] macb ff0e0000.ethernet: gem-ptp-timer ptp clock registered.
    [  OK  ] Started Network Time Synchronization.
    [  OK  ] Started Network Name Resolution.
    [  OK  ] Created slice Slice /system/systemd-fsck.
    [  OK  ] Reached target Network.
    [  OK  ] Reached target Host and Network Name Lookups.
    [  OK  ] Reached target Sound Card.
    [  OK  ] Reached target System Initialization.
    [  OK  ] Started Daily Cleanup of Temporary Directories.
    [  OK  ] Reached target System Time Set.
    [  OK  ] Reached target Timer Units.
    [  OK  ] Listening on D-Bus System Message Bus Socket.
             Starting sshd.socket...
    [  OK  ] Listening on Load/Save RF …itch Status /dev/rfkill Watch.
    [  OK  ] Started NFS status monitor for NFSv2/3 locking..
             Starting File System Check on /dev/mmcblk0p1...
    [  OK  ] Listening on sshd.socket.
    [  OK  ] Finished File System Check on /dev/mmcblk0p1.
    [  OK  ] Reached target Socket Units.
    [  OK  ] Reached target Basic System.
             Mounting /run/media/mmcblk0p1...
    [  OK  ] Started Kernel Logging Service.
    [  OK  ] Started System Logging Service.
             Starting D-Bus System Message Bus...
             Starting inetd.busybox.service...
             Starting LSB: Kernel NFS server support...
             Starting User Login Management...
             Starting Permit User Sessions...
             Starting Target Communication Framework agent...
             Starting OpenSSH Key Generation...
    [  OK  ] Started D-Bus System Message Bus.
    [  OK  ] Mounted /run/media/mmcblk0p1.
    [  OK  ] Started inetd.busybox.service.
    [  OK  ] Finished Permit User Sessions.
    [  OK  ] Started Target Communication Framework agent.
    [  OK  ] Started Getty on tty1.
    [  OK  ] Started Serial Getty on ttyPS0.
    [  OK  ] Reached target Login Prompts.
    [  OK  ] Started User Login Management.
    [   66.459757] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   66.466335] usb usb2-port1: config error
    [   67.191917] NFSD: Using /var/lib/nfs/v4recovery as the NFSv4 state recovery directory
    [   67.199776] NFSD: Using legacy client tracking operations.
    [   67.205258] NFSD: starting 90-second grace period (net f0000000)
    [FAILED] Failed to start LSB: Kernel NFS server support.
    See 'systemctl status nfsserver.service' for details.
    [  OK  ] Reached target Multi-User System.
             Starting Record Runlevel Change in UTMP...
    [  OK  ] Finished Record Runlevel Change in UTMP.
    [   68.357402] macb ff0e0000.ethernet eth0: Link is Up - 1Gbps/Full - flow control tx
    [   68.365005] IPv6: ADDRCONF(NETDEV_CHANGE): eth0: link becomes ready
    [   70.539744] usb usb2-port1: Cannot enable. Maybe the USB cable is bad?
    [   70.546331] usb usb2-port1: config error
    
    PetaLinux 2023.1+release-S03151236 xilinx-zcu102-20231 ttyPS0
    
    xilinx-zcu102-20231 login: 
    
    • MicroBlaze プロセッサの場合、上記のコマンドを実行すると、ターゲット ボードにビットストリームをダウンロードし、ターゲット ボードでカーネル イメージをブートします。
    • Zynq 7000 デバイスの場合、ターゲット ボードにビットストリームと FSBL をダウンロードした後、U-Boot をブートしてターゲット ボードでカーネルをブートします。
    • Zynq UltraScale+ MPSoC の場合、ビットストリーム、PMU ファームウェア、および FSBL をダウンロードした後、linux-boot.elf を利用してカーネルをブートし、カーネルの開始アドレスと DTB アドレスを設定します。
    • AMD Versal™ アダプティブ SoC の場合、BOOT.BIN (PDI、PLM ファームウェア、PSM ファームウェア、U-Boot、および DTB が含まれる) をダウンロードした後、U-Boot スクリプト (boot.scr) を利用してカーネル (イメージ) をブートします。