クロック プリミティブ - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

ほとんどのクロックは、グローバル クロック兼用 I/O (GCIO) ピンを介してデバイスに入力されます。水平 XPIO バンクでは、これらのクロックは、グローバル クロック バッファーを介してクロック ネットワークを直接駆動するか、XPIO バンクのクロック マネージメント タイル (CMT) にある MMCM、XPLL、または DPLL により変換されます。垂直 HDIO バンクを含むデバイスでは、クロックはグローバル クロック バッファーを介してクロック ネットワークを駆動するか、この機能を含むデバイスでは HDIO バンクの CMT にある DPLL により変換されます。

各水平 XPIO バンクには、次のクロック リソースが含まれます。

  • クロック生成ブロック
    • 1 つの MCMM
    • 2 つの XPLL
    • 1 つの DPLL
  • グローバル クロック バッファー
    • 24 個の BUFGCE/MBUFGCE
    • 8 個の BUFGCTRL/MBUFGCTRL
    • 4 個の BUFGCE_DIV/MBUFGCE_DIV
注記: Versal デバイスの角にある XPIO バンクのクロック リソースは限られており、BUFGCTRL および BUFGCE_DIV などのアクセス不可能なリソースがあります。角のバンクから供給されるクロックに BUFGCTRL および BUFGCE_DIV を使用するには、カスケード クロック バッファー に説明するように、カスケード接続されたクロック トポロジを使用することが必要な場合があります。ボンディングされていない I/O を含む XPIO バンクのクロック リソースは、使用可能です。XPIO の角のバンクの制限に関する詳細は、 『Versal アダプティブ SoC クロッキング リソース アーキテクチャ マニュアル』 (AM003)このセクションを参照してください。

垂直 HDIO バンクを含むデバイスでは、各バンクに次のクロック リソースが含まれます。

  • クロック生成ブロック
    • 1 つの DPLL
  • グローバル クロック バッファー
    • 4 個の BUFGCE/MBUFGCE
注記: VC1902、VC1802、および VM1802 デバイスでは、HDIO バンクに DPLL は含まれていません。

各ギガビット トランシーバー (GT*_QUAD) クロック領域列には、次のクロック リソースが含まれます。

  • クロック生成ブロック
    • 1 つの DPLL
  • グローバル クロック バッファー
    • 24 個の BUFG_GT/MBUFG_GT

次の表に、Versal デバイスのクロック バッファーをまとめます。

表 1. Versal デバイスのクロック バッファー
Versal デバイスのクロック バッファー 最下位クロック分周サポート 位置 説明
BUFGCE あり (MBUFGCE を使用) XPIO および HDIO バンク BUFGCE は、クロック イネーブル/ディスエーブル機能を持つ汎用クロック バッファーで、最もよく使用されます。
BUFGCE_DIV あり (MBUFGCE_DIV を使用) XPIO バンク クロックの単純な分周が必要な場合に便利です。このクロック バッファーは使用が簡単で、単純なクロック分周には MMCM または PLL を使用するよりも消費電力が低くなります。
BUFGCTRL あり (MBUFGCTRL を使用) XPIO バンク BUFGCTRL は BUFGMUX としてインスタンシエートでき、通常は複数のクロック ソースを 1 つのクロック ネットワークに多重化する際に使用されます。BUFGCE および BUFGCE_DIV と同様に、このクロック バッファーはリージョナル クロッキングまたはグローバル クロッキングのいずれかのクロック ネットワークを駆動できます。
BUFG_GT あり (MBUFG_GT を使用) GT*_QUAD 列 GT で生成されたクロックを使用する場合に、BUFG_GT クロック バッファーを使用するとクロック ネットワークへの接続が可能になります。ほとんどの場合、BUFG_GT は 1 つまたは 2 つの隣接クロック領域にあるロードを駆動するリージョナル バッファーとして使用されます。BUFG_GT にはビルトインのダイナミック クロック分周機能があり、MMCM の代わりにクロック レートを変更するために使用できます。
BUFG_PS あり (MBUFG_PS を使用) PS に隣接する垂直クロック列 BUFG_PS は、クロック入力 (I) とクロック出力 (O) を各 1 つ持つ、シンプルなクロック バッファーです。このクロック バッファーは PS 用のリソースで、プロセッサから PL へのクロック用の PL クロック配線リソースにアクセスできるようにします。12 個までの BUFG_PS バッファーが使用可能です。
BUFG_FABRIC × NoC 列 BUFG_FABRIC は PL で駆動され、ファンアウトの大きい、クロック以外のネットを配線するために使用されます。これにより、PL 配線リソースからクロック ネットワークに信号を供給できます。このクロック バッファーは、グローバル クロッキングには使用できません。