クロック間の双方向のグローバル制約 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

最大レイテンシを制限する必要がない場合は、クロック グループを使用できます。次に、clkA および clkB 間のパスを無視する例を示します。

set_clock_groups -asynchronous -group clkA -group clkB

2 つのマスター クロックとそれぞれの生成クロックにより 2 つの非同期ドメインが形成されており、それらのドメイン間のすべてのパスが適切に同期化されている場合は、クロック グループ制約を一度に複数のクロックに適用できます。

set_clock_groups -asynchronous \
-group {clkA clkA_gen0 clkA_gen1 …} \
-group {clkB clkB_gen0 clkB_gen1 …}

または、単純に次を使用します。

set_clock_groups -asynchronous \
-group [get_clocks -include_generated_clock clkA] \
-group [get_clocks -include_generated_clock clkB]