チェックポイントを使用したさまざまな段階でのデザイン解析 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

Vivado Design Suite では、物理デザイン データベースに配置配線情報が保存されます。デザイン チェックポイント ファイル (.dcp) を使用すると、デザイン フローの主要な段階でこの物理データベースを保存 (write_checkpoint コマンド) および復元 (read_checkpoint コマンド) できます。チェックポイントは、フローの特定の時点におけるデザインのスナップショットです。プロジェクト モードでは、Vivado ツールでデザイン チェックポイント ファイルが自動的に生成され、インプリメンテーション run ディレクトリに格納されます。これらは、Vivado ツールの別のインスタンスとして開くことができます。

デザイン チェックポイント ファイルには、次のものが含まれます。

  • インプリメンテーション中に適用された最適化を含む現在のネットリスト
  • デザイン制約
  • インプリメンテーション結果

チェックポイント デザインに対しては、Tcl コマンドを使用してデザイン フローの残りの段階を実行できます。新しいデザイン ソースを使用して変更することはできません。

チェックポイントで使用するコマンドの例は、次のとおりです。

  • フローのある部分に戻り、さらに解析するため、結果を保存します。
  • -directive オプションの異なる指示子を使用して place_design コマンドを実行し、それぞれのチェックポイントを保存します。このようにすると、次回のインプリメンテーション段階でタイミング結果が最高の place_design チェックポイントを選択できます。

チェックポイントの詳細は、 『Vivado Design Suite ユーザー ガイド: インプリメンテーション』 (UG904) を参照してください。