パイプラインに関する注意事項 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

パフォーマンスを向上するには、長いデータパスを数段のロジック レベルを使用して再構築し、複数のクロック サイクルに分散するという方法もあります。この方法を使用すると、クロック サイクルが速くなり、データ スループットが増加しますが、レイテンシが増加し、パイプライン オーバーヘッド ロジックの管理が必要になります。

デバイスにはレジスタが多く含まれるので、追加レジスタおよびロジックは通常問題とはなりません。ただし、データパスは複数サイクルにまたがるので、残りのデザインに特に注意して、追加されたパス レイテンシを把握する必要があります。