パッケージされたカスタム IP - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

Vivado IP パッケージャーを使用して、HDL ソースをブロック デザインで使用できるように IP にパッケージできます。パッケージされた IP は、RTL ソース、ブロック デザイン、またはこれら 2 つの組み合わせで構成できます。パッケージされた IP では、ベンダー、ライブラリ、名前、およびバージョン (VLNV) をユーザーが制御できます。ただし、パッケージされた IP の RTL ソース ファイルを編集することはできず、パッケージされた IP の内容は、それがインスタンシエートされているブロック デザインで認識されません。カスタム IP を適切に機能させるため、AMDでは 『Vivado Design Suite ユーザー ガイド: カスタム IP の作成とパッケージ』 (UG1118) に示されている要件に従うことをお勧めします。

次に、パッケージされたカスタム IP を使用する際の重要な情報を示します。

  • パッケージ出力には、アドレス指定情報は含まれません。パッケージされた IP のアドレス指定は、IP パッケージャー内でカスタマイズできます。詳細は、 『Vivado Design Suite ユーザー ガイド: カスタム IP の作成とパッケージ』 (UG1118) を参照してください。
  • SmartConnect アドレス情報は固定されており、生成された HDL のみがパッケージされます。SmartConnect のダイナミック アドレス指定機能を活用する場合は、IP を IP インテグレーター キャンバスに直接配置するか、ブロック デザイン コンテナー内に IP をインスタンシエートします。
  • IP パッケージャーの出力ファイルでは、パラメーター伝搬にはアクセスできません。ただし、プラグマを使用して IP パッケージャーをガイドすることは可能です。
  • IP パッケージャーでは、関連 ELF ファイルはシミュレーションではサポートされませんが、合成ではサポートされます。

次の図に、ユーザー RTL ソース ファイルを含むユーザー パッケージ IP のデザイン階層を示します。

図 1. パッケージされたカスタム IP のデザイン階層