ロジック最適化 (opt_design) - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

Vivado Design Suite ロジック最適化では、現在メモリに読み込まれているネットリストを最適化します。これが統合されたデザイン (RTL および IP ブロック) の最初の表示なので、デザインは通常さらに最適化できます。opt_design コマンドでは、デフォルトでロジックの自動削除、ロードのないセルの削除、定数入力の伝搬、およびブロック RAM の消費電力最適化が実行されます。また、複数の LUT を少ない数の LUT に統合してパスのロジック段数を削減する再マップなど、ほかの最適化も実行できます。