同じクロック ソースで定義されたクロックの重複 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

これは、2 つのクロックが create_clock -add コマンドを使用して同じネットリスト オブジェクトに定義され、アプリケーションの複数のモードを示す場合に発生します。この場合、クロック間にクロック グループ制約を適用するのが安全です。次に例を示します。

create_clock -name clk_mode0 -period 10 [get_ports clkin]
create_clock -name clk_mode1 -period 13.334 -add [get_ports clkin]
set_clock_groups -physically_exclusive -group clk_mode0 -group clk_mode1

clk_mode0 および clk_mode1 クロックがほかのクロックを生成する場合、同じ制約をその生成クロックにも適用する必要があります。これには、次を実行します。

set_clock_groups -physically_exclusive \
-group [get_clocks -include_generated_clock clk_mode0] \
-group [get_clocks -include_generated_clock clk_mode1]