幅の広いバスが SLR 間をまたぐ場合 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

幅の広いバスが SLR 間をまたぐデータフロー要件がある場合は、パイプライン ストラテジを使用してタイミング クロージャを改善し、長いリソースの配線密集を低減します。AMDでは、250 MHz を超える周波数で動作する幅の広いバスには、少なくとも 3 段のパイプラン (SLR の一番上に 1 段、一番下に 1 段、真ん中に 1 段) を使用して SLR 間をまたぐようにすることをお勧めします。クロック周波数が非常に高いバスの場合や、水平方向に横切ったり、垂直方向に縦断したりする場合は、さらに多くのパイプライン段が必要になることもあります。

重要: Versal アダプティブ SoC SSI テクノロジ デバイスを使用する場合、幅の広いバスが SLR 間をまたぐのに NoC を使用すると、リソース使用率の低減やタイミング クロージャの改善などの利点があります。特に AXI を使用する場合は、幅の広いバスが SLR 間をまたぐのに NoC を使用することを考慮してください。

次の図に、VP1702 デバイスで SLR 間をまたぐワースト ケースの状況を示します。この例では、SLR2 の右上の Interlaken 専用ブロックから、SLR0 の左下に割り当てられたパケット モニター ブロックに到達しています。パケット モニターを行き来するデータ バスに対してパイプライン レジスタがないと、デザインはタイミング要件である 300 MHz を大差で満たすことができません。

図 1. SLR 間をまたぐデータパス (パイプライン フリップフロップなし)

SLR2 から SLR0 に転送しやすくするため 6 段のパイプラインを追加すると、デザインのタイミング要件が満たされるようになります。これにより、次の図に示すように、垂直方向および水平方向の長い配線リソースの使用も削減されます。

図 2. SLR 間をまたぐデータパス (パイプライン フリップフロップを追加)
ヒント: SLR 間をまたぐ幅の広いバスのタイミング クロージャを達成するには、AXI Register Slice IP またはカスタム自動パイプライン IP を使用します。SLR 間をまたぐ幅の広いバスには、NoC を使用することを考慮してください。