自動インクリメンタル インプリメンテーション モード - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

自動インクリメンタル インプリメンテーション モードを使用すると、インクリメンタル インプリメンテーション フローがアクティブになりますが、Vivado ツールが基準チェックポイントと現在のデザインについて情報を取得するまでインクリメンタル インプリメンテーションは実行されません。read_checkpoint コマンドを実行すると、Vivado ツールにより、インプリメンテーション フローをデフォルト フロー アルゴリズムで実行するか、インクリメンタル フロー アルゴリズムで実行するかが判断されます。自動モードでは、インクリメンタル インプリメンテーションの基準デザイン データがツールで管理されるので、簡単に実行できます。

注記: 自動インクリメンタル インプリメンテーション モードは、デフォルトのインクリメンタル インプリメンテーション フローよりも控えめに実行され、インクリメンタル インプリメンテーション フローを実行した場合に QoR がより良く維持されます。

プロジェクト モード

プロジェクト モードでは、Vivado ツールにより使用するアルゴリズムとチェックポイントのアップデートが管理されます。プロジェクト モードで自動インクリメンタル インプリメンテーション モードをイネーブルにするには、Design Runs ウィンドウでインプリメンテーション run を右クリックし、Set incremental Compile > Automatically use the checkpoint from the previous run をクリックします。

これと同等の Tcl コマンドは、次のとおりです。
set_property AUTO_INCREMENTAL_CHECKPOINT 1 [get_runs <runName>]

非プロジェクト モード

非プロジェクト モードでは、Vivado ツールにより使用するアルゴリズムは管理されますが、チェックポイントをアップデートするかどうかはユーザーが判断する必要があります。非プロジェクト モードで自動インクリメンタル インプリメンテーション モードをイネーブルにするには、-auto_incremental オプションを使用します。次にコマンド例を示します。
read_checkpoint -incremental -auto_incremental <reference>.dcp
チェックポイントをアップデートする場合は、インプリメンテーション フローの最後に次のコマンドを使用して、WNS が許容される範囲を下回らないようにします。
if {[get_property SLACK [get_timing_path -setup]] > -0.250} {
	file copy -force <postroute>.dcp <reference>.dcp
}