自動的に認識されたサンプリング クロックの使用 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

set_input_delay および set_output_delay 制約は、関連するクロックを指定せずに使用できます。Vivado Design Suite タイミング エンジンは、デザインを解析し、各ポートをすべてのサンプリング クロックに自動的に関連付けます。I/O パスのタイミング レポートを生成すると、各 I/O ポートがどのように制約されたかを確認できます。これによりデザインをすばやく制約できますが、このような汎用制約では一般的すぎて実際のハードウェアに適さない場合は、問題となることがあります。