複数のクロック ネットでの CLOCK_DELAY_GROUP 制約の使用 - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語

CLOCK_DELAY_GROUP 制約を使用すると、異なるクロック バッファーで駆動される複数の関連するクロック ネットワークの挿入遅延を同じにできます。この制約は、同じ MMCM、XPLL、DPLL、または GT ソースからのクロック間の同期 CDC タイミング パスのスキューを最小限に抑えるためによく使用されます。次に、クロック バッファーで直接駆動されるクロック ネット、clk1_net および clk2_net に設定する例を示します。

set_property CLOCK_DELAY_GROUP grp12 [get_nets {clk1_net clk2_net}]
重要: CLOCK_DELAY_GROUP 制約は、クロック バッファーに直接接続されたネット セグメントに設定する必要があります。

同期 CDC タイミング パスのスキューを最小にする場合、または複数の関連クロック ネットワークの挿入遅延を一致させる場合は、可能であれば MBUFG* セルを使用します。1 つの MBUFG* セルを使用する場合は、CLOCK_DELAY_GROUP 制約を使用しないでください。MBUFG* セルで駆動されるクロック ネットワークの挿入遅延を別のグローバル クロック バッファーのクロック ネットワークに一致させる場合、次の例に示すように CLOCK_DELAY_GROUP 制約を使用できます。

set_property CLOCK_DELAY_GROUP mbufGrp [get_nets -of [get_pins MBUFGCE_inst/O*]]
set_property CLOCK_DELAY_GROUP mbufGrp [get_nets -of [get_pins BUFGCE_gated_inst/O]]
図 1. MBUFGCE の出力とゲート付き BUFGCE での挿入遅延の一致