配置規則のある場合とない場合のトポロジ - 2023.2 日本語

Versal アダプティブ SoC ハードウェア、IP、およびプラットフォーム開発設計手法ガイド (UG1387)

Document ID
UG1387
Release Date
2023-11-15
Version
2023.2 日本語
制約付きソース 制約なしのデスティネーション 結果
GCIO BUFGCE/MBUFGCE、BUFGCTRL/MBUFGCTRL、BUFGCE_DIV/MBUFGCE_DIV、MMCM/XPLL/DPLL 同じクロック領域に自動的に配置されます。
MMCM/XPLL/DPLL BUFGCE/MBUFGCE、BUFGCTRL/MBUFGCTRL、BUFGCE_DIV/MBUFGCE_DIV 同じクロック領域に自動的に配置されます。
GT*_QUAD BUFG_GT/MBUFG_GT 同じクロック領域に自動的に配置されます。
BUFGCTRL BUFGCTRL

同じクロック領域に自動的に配置されます。

注記: 同じクロック領域内の配置は、CLOCK_REGION 制約を使用して無効にできます。
BUFG*/MBUFG* BUFG*/MBUFG*

制約が設定されていないデスティネーション BUFG*/MBUFG* は予測不可能な配置になります。

デスティネーション BUFG*/MBUFG* に CLOCK_REGION 制約を設定することを推奨します

注記: BUFGCTRL -> BUFGCTRL は例外です。
BUFG*/MBUFG* MMCM/XPLL/DPLL

制約が設定されていないデスティネーション MMCM/XPLL/DPLL は予測不可能な配置になります。

MMCM/XPLL/DPLL に LOC 制約を設定することをお勧めします。

配線が隣接するクロック領域または複数のクロック領域にまたがる場合は、CLOCK_DEDICATED_ROUTE 制約を推奨します。