ML ストラテジ - 2023.2 日本語

Versal アダプティブ SoC システム統合および検証設計手法ガイド (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 日本語

機械学習 (ML) ストラテジを使用すると、デザイン用に最適化されたストラテジをすばやく取得できます。複数のインプリメンテーション ストラテジを実行してインプリメンテーション結果を生成する場合は、ML ストラテジを使用すると、どのストラテジが良い結果を生成する可能性が最も高いかを予測できます。

配線済みデザインのストラテジ推奨項目オブジェクトを生成するには、report_qor_suggestions コマンドを実行します。このコマンドを実行する前に、インプリメンテーション フローを次のように実行する必要があります。

  • プロジェクト モードでは、Default または PerformanceExplore ストラテジを使用します。
  • 非プロジェクト モードでは、次の Tcl コマンドを使用します。
    • opt_design: -directive オプションを Default または Explore に設定します。
    • place_designphys_opt_design、および route_design: -directive オプションを Default または Explore に設定します。オプションは、すべての Tcl コマンドで一致している必要があります。

ML ストラテジ推奨項目を生成したら、write_qor_suggestions -strategy_dir <directory> を使用して推奨項目を記述する必要があります。これにより、ストラテジごとに 1 つの RQS ファイルが記述されます。ストラテジ オブジェクトをアクティブにするには、opt_design を実行する前に、read_qor_suggestions を使用してストラテジ推奨項目を読み込み、すべてのコマンドの指示子を RQS に設定します (例: opt_design -directive RQS)。

AMD では、ML ストラテジを使用する場合は次のことをお勧めします。

  • 最高の結果を得るため、すべての設計手法チェックを解決し、デザインの QoR 評価スコアが 3 以上になるようにする必要があります。検証するには、synth_design または opt_design の後に report_qor_assessment を実行します。
  • 最大クロック周波数をさらに向上させるには、ML ストラテジ推奨項目とほかの QoR 推奨項目を同じ RQS ファイルにまとめます。
    注記: QoR 推奨項目が記述されると、ML ストラテジ推奨項目が自動的にまとめられます。この機能をディスエーブルにするには、write_qor_suggestions -of_objects [get_qor_suggestions ...] を使用し、必要な推奨項目のみをフィルターします。

詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906)このセクションを参照してください。