SLR をまたぐレジスタの使用 - 2023.2 日本語

Versal アダプティブ SoC システム統合および検証設計手法ガイド (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 日本語

SSI テクノロジ デバイスをターゲットとする場合は、SLR をまたぐレジスタからレジスタへの接続を専用 SLL 配線を介して CLB RX_REG を駆動する特定 CLB TX_REG に直接マップできます。パイプライン レジスタ間の接続に TX_REG から RX_REG への SLR をまたぐトポロジを使用すると、次のようなパフォーマンスの利点があります。

  • SLR をまたぐ配置が垂直方向に分散され、SLR 境界での配線の密集が削減します。
  • SLR をまたぐスライスにレジスタを配置すると、遅延見積もりの精度が向上し、タイミング QoR が高くなります。
  • SLR をまたぐ部分のパフォーマンスが高速でより一貫したものになります。

SLR の境界に配置されると予測されるレジスタに USER_SLL_REG プロパティを設定できます。次の場合、place_design 制約は place_design で無視されます。

  • レジスタ D ピンと Q ピンが SLR 境界をまたがないネットに接続されている。
  • レジスタ D ピンと Q ピンがファンアウト > 1 のネットに接続されている。

次に、USER_SLL_REG 制約の例を示します。

# USER_SLL_REG
set_property USER_SLL_REG TRUE [get_cells src_slr_i/G1B.SLL_reg[227]]
set_property USER_SLL_REG TRUE [get_cells dest_slr_i/G1B.SLL_reg[227]]

次の図に、USER_SLL_REG 制約の例と、その結果得られる最適な配置および配線を示します。

図 1. USER_SLL_REG 制約