プライマリ クロックと生成クロックを同時に制約 - 2023.2 日本語

Versal アダプティブ SoC システム統合および検証設計手法ガイド (UG1388)

Document ID
UG1388
Release Date
2023-11-15
Version
2023.2 日本語

タイミング例外を作成する前に、report_clock_networks でデザインに含まれるプライマリ クロックを特定しておくと有益です。すべてのプライマリ クロックがお互いに非同期である場合、1 つの制約を使用してプライマリ クロックどうしと、それらから生成されたクロックどうしを分離できます。report_clock_networks で生成されたレポートのプライマリ クロックをガイドとして使用し、次の図に示すように各クロック グループと関連のクロックを分離できます。

図 1. クロック ネットワーク レポート

### Decouple asynchronous clocks
set_clock_groups -asynchronous \
-group [get_clocks sysClk -include_generated_clocks] \
-group [get_clocks gt0_txusrclk_i -include_generated_clocks] \
-group [get_clocks gt2_txusrclk_i -include_generated_clocks] \
-group [get_clocks gt4_txusrclk_i -include_generated_clocks] \
-group [get_clocks gt6_txusrclk_i -include_generated_clocks]