ブラック ボックス コンフィギュレーション M 関数 - 2023.2 日本語

Vitis Model Composer ユーザー ガイド (UG1483)

Document ID
UG1483
Release Date
2023-11-15
Version
2023.2 日本語

インポートされたモジュールは、Vitis Model Composer ではブラック ボックス ブロックで表されます。インポートされたモジュールに関する情報は、コンフィギュレーション M 関数によってブラック ボックスに含められます。この関数では、ブラック ボックス ブロックのインターフェイス、インプリメンテーション、シミュレーション動作が定義されます。コンフィギュレーション M 関数では、次の情報が定義されます。

  • モジュールの最上位エンティティの名前
  • 言語選択 (VHDL または Verilog)
  • ポートの説明
  • モジュールに必要なジェネリック
  • 同期シングル クロックまたは複数の非同期クロック設定
  • クロッキングおよびサンプル レート
  • モジュールに関連付けられているファイル
  • モジュールに組み合わせパスがあるかどうか

ブラック ボックスに関連付けられているコンフィギュレーション M 関数の名前は、ブラック ボックスのパラメーター ダイアログ ボックスでパラメーターとして指定します (次の図では parity_block_config.m)。

図 1. ブラック ボックスのパラメーター ダイアログ ボックス

コンフィギュレーション M 関数では、ブラック ボックス情報を指定するのにオブジェクト ベースのインターフェイスが使用されます。このインターフェイスでは、SysgenBlockDescriptorSysgenPortDescriptor という 2 つのオブジェクトが定義されます。Model Composer がコンフィギュレーション M 関数を呼び出すと、関数はブロック記述子に渡されます。

function sample_block_config(this_block) 

SysgenBlockDescriptor オブジェクトには、ブラック ボックスに関する情報を指定するためのメソッドがあります。ブロック記述子のポートは、ポート記述子を使用してそれぞれ定義されます。