レイテンシのある複素乗算器 - 2023.2 日本語

Vitis Model Composer ユーザー ガイド (UG1483)

Document ID
UG1483
Release Date
2023-11-15
Version
2023.2 日本語

この例では、複素乗算器の作成方法を示します。xlcpxmult 関数を定義する xlcpxmult.m ファイルの内容は、次のとおりです。

function [xr, xi] = xlcpxmult(ar, ai, br, bi)
  xr = ar * br - ai * bi;
  xi = ar * bi + ai * br;

次の図に、サブシステムを示します。

図 1. 複素乗算器サブシステム

MCode ブロックの後に、2 つの Delay ブロックが追加されています。Delay ブロックのパラメーター ダイアログ ボックスの [Implementation] タブで Implement using behavioral HDL をオンにすると、ダウンストリームのロジック合成ツールで適切な最適化を実行してパフォーマンスを向上できます。