合成済みチェックポイントのコンパイル - 2023.2 日本語

Vitis Model Composer ユーザー ガイド (UG1483)

Document ID
UG1483
Release Date
2023-11-15
Version
2023.2 日本語

Vivado ツールでは、デザイン フローの主要な段階でデザインを保存および復元するためのメカニズムとして、デザイン チェックポイント ファイル (.dcp) が使用されます。チェックポイントは、フローの特定の地点におけるデザインのスナップショットです。合成済みチェックポイントとは、デザインが合成されてから、アウト オブ コンテキスト (OOC) モードで作成されたチェックポイント ファイルです。

Synthesized Checkpoint コンパイル ターゲットを選択すると (次の図を参照)、<design_name>.dcp という名前の合成済みチェックポイント ターゲット ファイルが作成され、Code directory で指定したターゲット ディレクトリに保存されます。この <design_name>.dcp ファイルは、この後どの Vivado IDE プロジェクトでも使用できます。

図 1. 合成済みチェックポイント

合成済みチェックポイントのコンパイルは、Vivado ツールでサポートされるどのボードまたはパーツに対しても実行できます。Vivado のインストールに含まれるAMD開発ボードだけでなく、パートナー ボードまたはカスタム ボードも指定できます (Model Composer HDL ブロックセットでのボード サポートの指定 を参照)。