新しいポートの追加 - 2023.2 日本語

Vitis Model Composer ユーザー ガイド (UG1483)

Document ID
UG1483
Release Date
2023-11-15
Version
2023.2 日本語

ブラック ボックスのポート インターフェイスを定義するとき、ブロック記述子に入力および出力ポートを追加する必要があります。これらのポートはインポートするモジュールのポートに対応します。モデルでは、ブロック記述子オブジェクトで宣言されるポート名によって、ブラック ボックス ブロックのポート インターフェイスが決まります。SysgenBlockDescriptor には入力および出力ポートを追加するためのメソッドがあります。

入力ポートの追加

this_block.addSimulinkInport('din'); 

出力ポートの追加

this_block.addSimulinkOutport('dout'); 

ポート名は addSimulinkInport および addSimulinkOutport というメソッドに渡される文字列パラメーターにより指定されます。これらの名前は、インポートされたモジュールの対応ポート名と一致している必要があります。

注記: ポート名は小文字で指定してください。

双方向ポートの追加

config_phase = this_block.getConfigPhaseString;
if (strcmpi(config_phase,'config_netlist_interface'))
 this_block.addInoutport('bidi');
 % Rate and type info should be added here as well
end 

双方向ポートはデザインのネットリスト生成中にのみサポートされ、Model Composer の図には表示されません。生成された HDL にのみ表示されます。このため、Model Composer で HDL を生成するときにのみ双方向ポートを追加してください。if-end の条件文により、双方向ポートを追加するコードが実行されます。

1 つのメソッドを呼び出して、入力および出力両方のポートを定義することも可能です。setSimulinkPorts メソッドにはパラメーターを 2 つ指定できます。1 つ目のパラメーターは、ブロックの入力ポート名を定義する文字列のセル アレイです。2 つ目のパラメーターは、ブロックの出力ポート名を定義する文字列のセル アレイです。

注記: Black Box Configuration ウィザードでは、コンフィギュレーション M 関数を生成するときに、ポート名が自動的に設定されます。