タイミング制約の定義 - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン フローの概要 (UG888)

Document ID
UG888
Release Date
2023-10-19
Version
2023.2 日本語
  1. Window > Timing Constraints をクリックして、次の図に示す [Timing Constraints] ウィンドウを開きます。

    [Timing Constraints] ウィンドウの左側に、制約がタイプ別にツリー形式で表示されます。この階層を利用して、定義する必要のあるタイミング制約を選択できます。

    [Timing Constraints] ウィンドウの右側に、wbClk および bftClk という 2 つのクロック制約が表形式で示されています。現在定義されている制約の値は、直接この表で変更できます。

  2. [Timing Constraints] ウィンドウの左側にある階層で、[Clocks] の下にある Create Clock をダブルクリックします。
    注記: 必要に応じ + をクリックして [Clocks] カテゴリを展開表示します。

    次の図に示すように、クロック制約を定義するための Create Clock ウィザードが開きます。[Command] には Tcl コマンド ラインで実行される XDC コマンドが表示されます。

    この時点では、タイミング制約は作成または変更しません。



  3. Cancel をクリックします。
  4. ウィンドウ右上の X をクリックしてウィンドウを閉じます。

    Vivado Design Suite には、デザイン解析および制約割り当てのためのさまざまな機能があります。これらの機能はここでは説明しないので、ほかのチュートリアルを参照してください。[Tools] メニューから、これらの機能を自由に試してみてください。