テキスト エディターの確認 - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン フローの概要 (UG888)

Document ID
UG888
Release Date
2023-10-19
Version
2023.2 日本語
  1. [Sources] ウィンドウで VHDL ソースを 1 つ選択します。
  2. マウスを右クリックして表示されるポップアップ メニューのコマンドを確認します。
  3. Open File をクリックしてファイルを開き、テキスト エディターでスクロール バーを使用してその内容を確認します。

    [Sources] ウィンドウでソース ファイルをダブルクリックして、テキスト エディターでファイルを開くこともできます。



    テキスト エディターには、RTL コードのキーワードやコメントが色分け表示されます。予約語の表示フォントや文字の色は、Tools > Settings コマンドを使用して設定できます。詳細は、 『Vivado Design Suite ユーザー ガイド: Vivado IDE の使用』 (UG893) を参照してください。

  4. テキスト エディターにカーソルを置き、右クリックして Find in Files をクリックします。[Replace in Files] コマンドもあります。

    さまざまな検索オプションを設定できる [Find in Files] ダイアログ ボックスが開きます。



  5. [Find what] フィールドに「clk」と入力し、Find をクリックします。

    [Find in Files] ウィンドウが Vivado IDE 下部のメッセージ エリアに表示されます。



  6. [Find in Files] ウィンドウに表示されたファイルの 1 つを展開し、そのファイルに含まれている clk のいずれか 1 つを選択します。

    テキスト エディターに選択したファイルが開き、選択した clk の箇所が表示されます。

  7. [Find in Files] ウィンドウを閉じます。
  8. 開いているテキスト エディターのウィンドウを閉じます。

    次の手順では、合成を実行する前に、デザイン コンフィギュレーションおよび解析機能の一部を説明します。