手順 1: サンプル スクリプトの生成 - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン フローの概要 (UG888)

Document ID
UG888
Release Date
2023-10-19
Version
2023.2 日本語
  1. テキスト エディターでサンプル スクリプト <Extract_Dir>/Vivado_Tutorial/create_bft_kintex7_batch.tcl を開き、各段階を確認します。
    STEP#0: Define output directory location. 
    STEP#1: Setup design sources and constraints. 
    STEP#2: Run synthesis, report utilization and timing estimates, write checkpoint design. 
    STEP#3: Run placement and logic optimization, report utilization and timing estimates, write checkpoint design. 
    STEP#4: Run router, report actual utilization and timing, write checkpoint design, run drc, write verilog and xdc out.
    STEP#5: Generate a bitstream.

    多くの Tcl コマンドがコメントアウトされています。これらを 1 つずつ手動で実行します。

  2. サンプル スクリプトを開いたままにしておきます。このチュートリアルの後半で、これをコピーして貼り付けます。