手順 3: デザインの合成 - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン フローの概要 (UG888)

Document ID
UG888
Release Date
2023-10-19
Version
2023.2 日本語
  1. create_bft_kintex7_batch.tcl スクリプトから synth_design コマンドをコピーして Tcl シェルに貼り付け、合成が完了するのを待ちます。マウスを右クリックしてポップアップ メニューを使用して Tcl シェルに貼り付けることもできます。
    synth_design -top bft
    注記: サンプル スクリプトのコマンドはコメントです。コメント文の冒頭には「#」記号が付いていますが、この記号はコピーしないでください。コピーすると、コメントとして処理されてしまいます。
  2. スクロールダウンして合成レポートを確認します。
  3. Vivado Tcl プロンプトが再び表示されたら、合成の後に続く write_checkpointreport_timing_summaryreport_powerreport_clock_interaction、および report_high_fanout_nets コマンドをコピーして貼り付けます。
    write_checkpoint -force $outputDir/post_synth
    report_timing_summary -file $outputDir/post_synth_timing_summary.rpt
    report_power -file $outputDir/post_synth_power.rpt
    report_clock_interaction -delay_type min_max -file \
    $outputDir/post_synth_clock_interaction.rpt
    report_high_fanout_nets -fanout_greater_than 200 -max_nets 50 -file \
    $outputDir/post_synth_high_fanout_nets.rpt
  4. 別のウィンドウを開き、出力ディレクトリに生成されたファイルを確認します。Windows の場合は、ファイル ブラウザーを使用した方が簡単かもしれません。

    <Extract_Dir>/Vivado_Tutorial/Tutorial_Created_Data/bft_output

  5. テキスト エディターを使用して、生成されたさまざまなレポート ファイル (*.rpt) を開きます。