手順 7: デザインのインプリメント - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン フローの概要 (UG888)

Document ID
UG888
Release Date
2023-10-19
Version
2023.2 日本語
  1. create_bft_kintex7_batch.tcl スクリプトを開くか、スクリプト ウィンドウを前面に移動させます。
  2. opt_design から write_bitstream までの Tcl コマンドを、順番を変えずに 1 つずつコピーして貼り付けます。
    opt_design
    place_design
    phys_opt_design
    write_checkpoint -force $outputDir/post_place
    report_timing_summary -file $outputDir/post_place_timing_summary.rpt
     
    route_design
    write_checkpoint -force $outputDir/post_route
    report_timing_summary -file $outputDir/post_route_timing_summary.rpt
    report_timing -sort_by group -max_paths 100 -path_type summary -file \
    $outputDir/post_route_timing.rpt
     
    report_clock_utilization -file $outputDir/clock_util.rpt
    report_utilization -file $outputDir/post_route_util.rpt
    report_power -file $outputDir/post_route_power.rpt
    report_drc -file $outputDir/post_imp_drc.rpt
     
    write_verilog -force $outputDir/bft_impl_netlist.v
    write_xdc -no_fixed_only -force $outputDir/bft_impl.xdc
    write_bitstream -force $outputDir/bft.bit
  3. 各コマンドを確認し、コマンドを実行したときにさまざまなメッセージが表示されることを確認します。
  4. create_bft_kintex7_batch.tcl スクリプトを開いているテキスト エディターを閉じます
  5. 出力ディレクトリに生成されたファイルを確認します。

    <Extract_Dir>/Vivado_Tutorial/Tutorial_Created_Data/bft_output

  6. テキスト エディターを使用して、生成されたさまざまなレポート ファイル (*.rpt) を開きます。
  7. bft_impl.xdc ファイルを開きます。
  8. 先ほど変更した I/O ポート制約を使用してデザインがインプリメントされたことを検証します。