非プロジェクト モード - 2023.2 日本語

Vivado Design Suite チュートリアル: デザイン フローの概要 (UG888)

Document ID
UG888
Release Date
2023-10-19
Version
2023.2 日本語

この使用モデルはスクリプト ベースで、Vivado ツールでデザイン データを管理したり、デザイン ステートを確認したりすることはできません。フロー全体がメモリ内で実行され、Vivado ツールはさまざまなソース ファイルを読み込んだり、デザインをコンパイルしたりするのに使用されます。インプリメンテーション プロセスのどの段階でも、さまざまなレポートを生成し、デザイン ルール チェックを実行し、デザイン チェックポイントを生成できます。フロー全体を通し、デザインを解析したりネットリスト/制約を変更したりするため、Vivado IDE でインメモリのデザインや保存されているデザイン チェックポイントを開くことができます。ただし、非プロジェクト モードでは、IDE でソース ファイルを変更することはできません。また、このモードでは、ソース ファイルおよび run の管理、ソース ファイルへのクロスプローブ、デザイン ステートのレポートなど、プロジェクト ベースの機能は利用できません。ソース ファイルは変更されるたびにディスクでアップデートされるので、変更があったことを把握し、デザインを読み込み直す必要があります。

非プロジェクト モードでは、デフォルトでレポートや中間ファイルは作成されません。レポートやデザイン チェックポイントの作成は、Tcl コマンドを使用して指示する必要があります。