インテリジェント デザイン run を使用したタイミング クロージャ - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2023-10-19
Version
2023.2 日本語

インテリジェント デザイン run (IDR) では、複数ステージの run を使用して、自動的にタイミング クロージャが実行されます。このフローは、GUI の場合は、design runs ウィンドウ内で implementation run を右クリックして Close Timing Using Intelligent Design Runs を選択し、Tcl の場合は、IDR フローを使用して新しい run を作成し、基準 run を正しく設定すると、使用できます。インテリジェント デザイン run の詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906) の第 8 章を参照してください。