タイミング解析の実行 - 2023.2 日本語

Vivado Design Suite ユーザー ガイド: デザイン フローの概要 (UG892)

Document ID
UG892
Release Date
2023-10-19
Version
2023.2 日本語

Vivado IDE では、タイミング解析結果をグラフィカルに設定し、表示できます。Tools > Timing コマンドを使用して、さまざまなタイミング解析パラメーターを使用してみてください。クロック ネットワーク レポートおよびクロック関連性レポートでは、クロックのトポロジおよび関係を確認できます。スラック ヒストグラムには、デザインの全体的なタイミング パフォーマンスが表示されます。詳細は、 『Vivado Design Suite ユーザー ガイド: デザイン解析およびクロージャ テクニック』 (UG906) を参照してください。

また、Vivado IDE には、Tcl コンソールおよび SDC 制約オプションを使用してさまざまなタイミング解析オプションが提供されています。多数の標準レポート Tcl コマンドがあり、クロック構造、ロジックの関係、デザインに適用されている制約などに関する情報を取得できます。詳細は、 『Vivado Design Suite Tcl コマンド リファレンス ガイド』 (UG835) を参照するか、「help report_*」と入力してください。